OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [ao486/] [autogen/] [read_commands.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
wire [4:0] rd_call_gate_param;
2
assign rd_call_gate_param = glob_param_3[24:20] - 5'd1;
3
 
4
wire rd_io_allow_1_fault;
5
wire rd_io_allow_2_fault;
6
assign rd_io_allow_1_fault = rd_cmd == `CMD_io_allow && rd_cmdex == `CMDEX_io_allow_1 && (   ~(tr_cache_valid) || (tr_cache[`DESC_BITS_TYPE] != `DESC_TSS_AVAIL_386 && tr_cache[`DESC_BITS_TYPE] != `DESC_TSS_BUSY_386) || tr_limit < 32'd103 );
7
assign rd_io_allow_2_fault = rd_cmd == `CMD_io_allow && rd_cmdex == `CMDEX_io_allow_2 && ({ 16'd0, rd_memory_last[15:0] } + { 16'd0, 3'd0, glob_param_1[15:3] }) >= tr_limit;
8
assign rd_io_allow_fault = rd_io_allow_1_fault || rd_io_allow_2_fault;
9
 
10
wire rd_imul_modregrm_mutex_busy;
11
assign rd_imul_modregrm_mutex_busy = (  rd_decoder[3]  && rd_mutex_busy_modregrm_reg) || (~(rd_decoder[3]) && rd_mutex_busy_eax);
12
 
13
wire rd_arith_modregrm_to_rm;
14
wire rd_arith_modregrm_to_reg;
15
assign rd_arith_modregrm_to_rm = ~(rd_decoder[1]);
16
assign rd_arith_modregrm_to_reg= rd_decoder[1];
17
 
18
wire rd_in_condition;
19
assign rd_in_condition = (rd_mutex_busy_active && (rd_cmdex == `CMDEX_IN_imm || rd_cmdex == `CMDEX_IN_dx) && ~(io_allow_check_needed)) || (rd_cmdex == `CMDEX_IN_dx && rd_mutex_busy_edx);
20
 
21
wire [31:0] rd_offset_for_esp_from_tss;
22
wire [31:0] rd_offset_for_ss_from_tss;
23
wire [31:0] r_limit_for_ss_esp_from_tss;
24
wire        rd_ss_esp_from_tss_386;
25
assign rd_ss_esp_from_tss_386 = tr_cache[`DESC_BITS_TYPE] == `DESC_TSS_AVAIL_386 || tr_cache[`DESC_BITS_TYPE] == `DESC_TSS_BUSY_386;
26
assign r_limit_for_ss_esp_from_tss = (rd_ss_esp_from_tss_386)?   { 27'd0, glob_descriptor[`DESC_BITS_DPL], 3'd0 } + 32'd11 : { 28'd0, glob_descriptor[`DESC_BITS_DPL], 2'd0 } + 32'd5;
27
assign rd_offset_for_ss_from_tss = (rd_ss_esp_from_tss_386)?   { 27'd0, glob_descriptor[`DESC_BITS_DPL], 3'd0 } + 32'd8 : { 28'd0, glob_descriptor[`DESC_BITS_DPL], 2'd0 } + 32'd4;
28
assign rd_offset_for_esp_from_tss = (rd_ss_esp_from_tss_386)?   { 27'd0, glob_descriptor[`DESC_BITS_DPL], 3'd0 } + 32'd4 : { 28'd0, glob_descriptor[`DESC_BITS_DPL], 2'd0 } + 32'd2;
29
assign rd_ss_esp_from_tss_fault = (   (rd_cmd == `CMD_CALL_2 && rd_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_0) || (rd_cmd == `CMD_int_2  && rd_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_0) ) && r_limit_for_ss_esp_from_tss > tr_limit;
30
 
31
wire [31:0] rd_task_switch_linear_next;
32
reg [31:0] rd_task_switch_linear_reg;
33
assign rd_task_switch_linear_next = (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? rd_task_switch_linear_reg + 32'd2 : rd_task_switch_linear_reg + 32'd4;
34
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0)                                                               rd_task_switch_linear_reg <= 32'd0; else if(rd_cmd == `CMD_task_switch && rd_cmdex == `CMDEX_task_switch_STEP_12)   rd_task_switch_linear_reg <= rd_system_linear; else if(rd_ready)                                                               rd_task_switch_linear_reg <= rd_task_switch_linear_next;
35
end
36
 
37
//======================================================== conditions
38
wire cond_0 = rd_cmd == `CMD_XADD && rd_cmdex == `CMDEX_XADD_FIRST;
39
wire cond_1 = rd_modregrm_mod == 2'b11;
40
wire cond_2 = rd_mutex_busy_modregrm_reg || rd_mutex_busy_modregrm_rm;
41
wire cond_3 = rd_modregrm_mod != 2'b11;
42
wire cond_4 = rd_mutex_busy_modregrm_reg || rd_mutex_busy_memory;
43
wire cond_5 = ~(read_for_rd_ready);
44
wire cond_6 = rd_cmd == `CMD_XADD && rd_cmdex == `CMDEX_XADD_LAST;
45
wire cond_7 = rd_cmd == `CMD_CALL && rd_cmdex == `CMDEX_CALL_Ev_STEP_0;
46
wire cond_8 = rd_mutex_busy_modregrm_rm;
47
wire cond_9 = rd_mutex_busy_memory;
48
wire cond_10 = rd_cmd == `CMD_CALL && rd_cmdex == `CMDEX_CALL_Jv_STEP_0;
49
wire cond_11 = rd_cmd == `CMD_CALL && (rd_cmdex == `CMDEX_CALL_Ep_STEP_0 || rd_cmdex == `CMDEX_CALL_Ep_STEP_1);
50
wire cond_12 = rd_cmdex == `CMDEX_CALL_Ep_STEP_1;
51
wire cond_13 = rd_cmd == `CMD_CALL && rd_cmdex == `CMDEX_CALL_Ap_STEP_0;
52
wire cond_14 = rd_cmd == `CMD_CALL && rd_cmdex == `CMDEX_CALL_Ap_STEP_1;
53
wire cond_15 = rd_cmd == `CMD_CALL && rd_cmdex == `CMDEX_CALL_protected_STEP_0;
54
wire cond_16 = rd_mutex_busy_active;
55
wire cond_17 = glob_param_1[15:2] != 14'd0;
56
wire cond_18 = rd_cmd == `CMD_CALL_2 && rd_cmdex == `CMDEX_CALL_2_task_gate_STEP_0;
57
wire cond_19 = rd_cmd == `CMD_CALL_2 && rd_cmdex == `CMDEX_CALL_2_task_gate_STEP_1;
58
wire cond_20 = glob_param_1[`SELECTOR_BIT_TI] == 1'b0;
59
wire cond_21 = rd_cmd == `CMD_CALL_2 && rd_cmdex == `CMDEX_CALL_2_call_gate_STEP_1;
60
wire cond_22 = rd_cmd == `CMD_CALL_3 && (rd_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_4 || rd_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_5);
61
wire cond_23 = ~(glob_param_3[19]);
62
wire cond_24 = glob_param_3[19];
63
wire cond_25 = rd_ready;
64
wire cond_26 = rd_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_4;
65
wire cond_27 = rd_cmd == `CMD_PUSH_MOV_SEG && { rd_cmdex[3], 3'b0 } == `CMDEX_PUSH_MOV_SEG_implicit;
66
wire cond_28 = rd_cmd == `CMD_PUSH_MOV_SEG && { rd_cmdex[3], 3'b0 } == `CMDEX_PUSH_MOV_SEG_modregrm;
67
wire cond_29 = ~(write_virtual_check_ready);
68
wire cond_30 = rd_cmd == `CMD_NEG;
69
wire cond_31 = rd_cmd == `CMD_INVLPG && rd_cmdex == `CMDEX_INVLPG_STEP_1;
70
wire cond_32 = ~(rd_address_effective_ready);
71
wire cond_33 = rd_cmd == `CMD_io_allow && rd_cmdex == `CMDEX_io_allow_1;
72
wire cond_34 = rd_io_allow_1_fault || rd_mutex_busy_active;
73
wire cond_35 = rd_cmd == `CMD_io_allow && rd_cmdex == `CMDEX_io_allow_2;
74
wire cond_36 = rd_io_allow_2_fault;
75
wire cond_37 = rd_cmd == `CMD_SCAS;
76
wire cond_38 = rd_mutex_busy_memory || (rd_mutex_busy_ecx && rd_prefix_group_1_rep != 2'd0);
77
wire cond_39 = ~(rd_string_ignore);
78
wire cond_40 = rd_cmd == `CMD_INC_DEC && { rd_cmdex[3:1], 1'b0 } == `CMDEX_INC_DEC_modregrm;
79
wire cond_41 = rd_cmd == `CMD_INC_DEC && { rd_cmdex[3:1], 1'b0 } == `CMDEX_INC_DEC_implicit;
80
wire cond_42 = rd_mutex_busy_implicit_reg;
81
wire cond_43 = rd_cmd == `CMD_RET_near && rd_cmdex != `CMDEX_RET_near_LAST;
82
wire cond_44 = rd_cmd == `CMD_ARPL;
83
wire cond_45 = rd_mutex_busy_modregrm_rm || rd_mutex_busy_modregrm_reg;
84
wire cond_46 = rd_mutex_busy_memory || rd_mutex_busy_modregrm_reg;
85
wire cond_47 = rd_cmd == `CMD_BSWAP;
86
wire cond_48 = rd_cmd == `CMD_LxS && rd_cmdex == `CMDEX_LxS_STEP_1;
87
wire cond_49 = ~(rd_address_effective_ready) || rd_mutex_busy_memory;
88
wire cond_50 = rd_operand_16bit;
89
wire cond_51 = rd_cmd == `CMD_LxS && rd_cmdex == `CMDEX_LxS_STEP_2;
90
wire cond_52 = rd_operand_32bit;
91
wire cond_53 = rd_cmd == `CMD_LxS && rd_cmdex == `CMDEX_LxS_STEP_3;
92
wire cond_54 = rd_cmd == `CMD_LxS && rd_cmdex == `CMDEX_LxS_STEP_LAST;
93
wire cond_55 = (rd_cmd == `CMD_MOV_to_seg || rd_cmd == `CMD_LLDT || rd_cmd == `CMD_LTR) && rd_cmdex == `CMDEX_MOV_to_seg_LLDT_LTR_STEP_1;
94
wire cond_56 = rd_cmd == `CMD_MOV_to_seg || cpl == 2'd0;
95
wire cond_57 = rd_cmd == `CMD_MOV_to_seg;
96
wire cond_58 = rd_cmd == `CMD_LLDT;
97
wire cond_59 = rd_cmd == `CMD_LTR;
98
wire cond_60 = rd_cmd == `CMD_CLC || rd_cmd == `CMD_CMC || rd_cmd == `CMD_CLD || rd_cmd == `CMD_STC || rd_cmd == `CMD_STD || rd_cmd == `CMD_SAHF;
99
wire cond_61 = rd_cmd == `CMD_int && rd_cmdex == `CMDEX_int_task_gate_STEP_0;
100
wire cond_62 = rd_cmd == `CMD_int && rd_cmdex == `CMDEX_int_task_gate_STEP_1;
101
wire cond_63 = rd_cmd == `CMD_int && rd_cmdex == `CMDEX_int_int_trap_gate_STEP_1;
102
wire cond_64 = rd_cmd == `CMD_int && rd_cmdex == `CMDEX_int_real_STEP_3;
103
wire cond_65 = rd_cmd == `CMD_int && rd_cmdex == `CMDEX_int_real_STEP_4;
104
wire cond_66 = rd_cmd == `CMD_int && rd_cmdex == `CMDEX_int_protected_STEP_1;
105
wire cond_67 = rd_cmd == `CMD_AAM || rd_cmd == `CMD_AAD;
106
wire cond_68 = rd_mutex_busy_eax;
107
wire cond_69 = rd_cmd == `CMD_load_seg && rd_cmdex == `CMDEX_load_seg_STEP_1;
108
wire cond_70 = v8086_mode;
109
wire cond_71 = real_mode;
110
wire cond_72 = protected_mode;
111
wire cond_73 = rd_cmd == `CMD_load_seg && rd_cmdex == `CMDEX_load_seg_STEP_2;
112
wire cond_74 = ~(protected_mode && glob_param_1[15:2] == 14'd0);
113
wire cond_75 = rd_cmd == `CMD_POP_seg && rd_cmdex == `CMDEX_POP_seg_STEP_1;
114
wire cond_76 = { rd_cmd[6:2], 2'd0 } == `CMD_BTx;
115
wire cond_77 = rd_mutex_busy_modregrm_rm || (rd_cmdex == `CMDEX_BTx_modregrm && rd_mutex_busy_modregrm_reg);
116
wire cond_78 = rd_mutex_busy_memory || (rd_cmdex == `CMDEX_BTx_modregrm && rd_mutex_busy_modregrm_reg);
117
wire cond_79 = rd_cmd == `CMD_IRET && rd_cmdex <= `CMDEX_IRET_real_v86_STEP_2;
118
wire cond_80 = rd_cmdex >`CMDEX_IRET_real_v86_STEP_0;
119
wire cond_81 = rd_cmdex == `CMDEX_IRET_real_v86_STEP_0;
120
wire cond_82 = rd_cmdex == `CMDEX_IRET_real_v86_STEP_1;
121
wire cond_83 = rd_cmdex == `CMDEX_IRET_real_v86_STEP_2;
122
wire cond_84 = rd_mutex_busy_memory || (rd_mutex_busy_eflags && v8086_mode);
123
wire cond_85 = ~(v8086_mode) || iopl == 2'd3;
124
wire cond_86 = rd_cmd == `CMD_IRET && rd_cmdex == `CMDEX_IRET_protected_STEP_0;
125
wire cond_87 = rd_mutex_busy_memory || rd_mutex_busy_eflags;
126
wire cond_88 = rd_cmd == `CMD_IRET && rd_cmdex == `CMDEX_IRET_task_switch_STEP_0;
127
wire cond_89 = rd_cmd == `CMD_IRET && rd_cmdex == `CMDEX_IRET_task_switch_STEP_1;
128
wire cond_90 = ~(rd_descriptor_not_in_limits);
129
wire cond_91 = rd_cmd == `CMD_IRET && rd_cmdex >= `CMDEX_IRET_protected_STEP_1 && rd_cmdex <= `CMDEX_IRET_protected_STEP_3;
130
wire cond_92 = rd_cmdex == `CMDEX_IRET_protected_STEP_1;
131
wire cond_93 = rd_cmdex == `CMDEX_IRET_protected_STEP_2;
132
wire cond_94 = rd_cmdex == `CMDEX_IRET_protected_STEP_3;
133
wire cond_95 = rd_cmd == `CMD_IRET && rd_cmdex >= `CMDEX_IRET_protected_to_v86_STEP_0;
134
wire cond_96 = rd_cmdex == `CMDEX_IRET_protected_to_v86_STEP_0;
135
wire cond_97 = rd_cmd == `CMD_IRET_2 && rd_cmdex == `CMDEX_IRET_2_protected_outer_STEP_0;
136
wire cond_98 = rd_cmd == `CMD_IRET_2 && rd_cmdex >= `CMDEX_IRET_2_protected_outer_STEP_1 && rd_cmdex <= `CMDEX_IRET_2_protected_outer_STEP_3;
137
wire cond_99 = rd_cmdex == `CMDEX_IRET_2_protected_outer_STEP_1;
138
wire cond_100 = rd_cmdex == `CMDEX_IRET_2_protected_outer_STEP_2;
139
wire cond_101 = rd_cmdex == `CMDEX_IRET_2_protected_outer_STEP_3;
140
wire cond_102 = rd_cmd == `CMD_IRET_2 && rd_cmdex >= `CMDEX_IRET_2_protected_outer_STEP_6;
141
wire cond_103 = rd_cmd == `CMD_POP && rd_cmdex == `CMDEX_POP_implicit;
142
wire cond_104 = rd_mutex_busy_memory || rd_mutex_busy_esp;
143
wire cond_105 = rd_cmd == `CMD_POP && rd_cmdex == `CMDEX_POP_modregrm_STEP_0;
144
wire cond_106 = rd_cmd == `CMD_POP && rd_cmdex == `CMDEX_POP_modregrm_STEP_1;
145
wire cond_107 = rd_cmd == `CMD_IDIV || rd_cmd == `CMD_DIV;
146
wire cond_108 = rd_mutex_busy_eax || (rd_decoder[0] && rd_mutex_busy_edx) || rd_mutex_busy_modregrm_rm;
147
wire cond_109 = rd_mutex_busy_eax || (rd_decoder[0] && rd_mutex_busy_edx) || rd_mutex_busy_memory;
148
wire cond_110 = rd_cmd == `CMD_Shift && rd_cmdex != `CMDEX_Shift_implicit;
149
wire cond_111 = rd_cmd == `CMD_Shift && rd_cmdex == `CMDEX_Shift_implicit;
150
wire cond_112 = rd_mutex_busy_modregrm_rm || rd_mutex_busy_ecx;
151
wire cond_113 = rd_mutex_busy_memory || rd_mutex_busy_ecx;
152
wire cond_114 = rd_cmd == `CMD_CMPS && rd_cmdex == `CMDEX_CMPS_FIRST;
153
wire cond_115 = rd_cmd == `CMD_CMPS && rd_cmdex == `CMDEX_CMPS_LAST;
154
wire cond_116 = rd_cmd == `CMD_control_reg && rd_cmdex == `CMDEX_control_reg_SMSW_STEP_0;
155
wire cond_117 = rd_cmd == `CMD_control_reg && rd_cmdex == `CMDEX_control_reg_LMSW_STEP_0;
156
wire cond_118 = cpl == 2'd0;
157
wire cond_119 = rd_cmd == `CMD_control_reg && rd_cmdex == `CMDEX_control_reg_MOV_load_STEP_0;
158
wire cond_120 = rd_cmd == `CMD_control_reg && rd_cmdex == `CMDEX_control_reg_MOV_store_STEP_0;
159
wire cond_121 = (rd_cmd == `CMD_LGDT || rd_cmd == `CMD_LIDT) && (rd_cmdex == `CMDEX_LGDT_LIDT_STEP_1 || rd_cmdex == `CMDEX_LGDT_LIDT_STEP_2);
160
wire cond_122 = rd_cmdex == `CMDEX_LGDT_LIDT_STEP_1;
161
wire cond_123 = rd_cmdex == `CMDEX_LGDT_LIDT_STEP_2;
162
wire cond_124 = rd_cmd == `CMD_PUSHA;
163
wire cond_125 = (rd_cmdex == `CMDEX_PUSHA_STEP_0 && rd_mutex_busy_eax) || (rd_cmdex == `CMDEX_PUSHA_STEP_1 && rd_mutex_busy_ecx) || (rd_cmdex == `CMDEX_PUSHA_STEP_2 && rd_mutex_busy_edx);
164
wire cond_126 = rd_cmd == `CMD_SETcc;
165
wire cond_127 = rd_cmd == `CMD_CMPXCHG;
166
wire cond_128 = rd_cmd == `CMD_ENTER && rd_cmdex == `CMDEX_ENTER_FIRST;
167
wire cond_129 = rd_mutex_busy_ebp;
168
wire cond_130 = rd_cmd == `CMD_ENTER && rd_cmdex == `CMDEX_ENTER_LAST;
169
wire cond_131 = rd_cmd == `CMD_ENTER && rd_cmdex == `CMDEX_ENTER_PUSH;
170
wire cond_132 = rd_cmd == `CMD_ENTER && rd_cmdex == `CMDEX_ENTER_LOOP;
171
wire cond_133 = rd_cmd == `CMD_IMUL && rd_cmdex == `CMDEX_IMUL_modregrm_imm;
172
wire cond_134 = rd_decoder[1:0] == 2'b11;
173
wire cond_135 = rd_cmd == `CMD_IMUL && rd_cmdex == `CMDEX_IMUL_modregrm;
174
wire cond_136 = rd_imul_modregrm_mutex_busy || rd_mutex_busy_modregrm_rm;
175
wire cond_137 = rd_imul_modregrm_mutex_busy || rd_mutex_busy_memory;
176
wire cond_138 = rd_cmd == `CMD_LEAVE;
177
wire cond_139 = { rd_cmd[6:1], 1'd0 } == `CMD_SHxD && rd_cmdex != `CMDEX_SHxD_implicit;
178
wire cond_140 = { rd_cmd[6:1], 1'd0 } == `CMD_SHxD && rd_cmdex == `CMDEX_SHxD_implicit;
179
wire cond_141 = rd_mutex_busy_modregrm_rm || rd_mutex_busy_ecx || rd_mutex_busy_modregrm_reg;
180
wire cond_142 = rd_mutex_busy_memory || rd_mutex_busy_ecx || rd_mutex_busy_modregrm_reg;
181
wire cond_143 = { rd_cmd[6:3], 3'd0 } == `CMD_Arith && rd_cmdex == `CMDEX_Arith_modregrm;
182
wire cond_144 = rd_decoder[5:3] != 3'b111;
183
wire cond_145 = rd_decoder[5:3] != 3'b111 && rd_arith_modregrm_to_rm;
184
wire cond_146 = rd_decoder[5:3] != 3'b111 && rd_arith_modregrm_to_reg;
185
wire cond_147 = { rd_cmd[6:3], 3'd0 } == `CMD_Arith && rd_cmdex == `CMDEX_Arith_modregrm_imm;
186
wire cond_148 = rd_decoder[13:11] != 3'b111;
187
wire cond_149 = { rd_cmd[6:3], 3'd0 } == `CMD_Arith && rd_cmdex == `CMDEX_Arith_immediate;
188
wire cond_150 = rd_cmd == `CMD_MUL;
189
wire cond_151 = rd_mutex_busy_eax || rd_mutex_busy_modregrm_rm;
190
wire cond_152 = rd_mutex_busy_eax || rd_mutex_busy_memory;
191
wire cond_153 = rd_cmd == `CMD_LOOP;
192
wire cond_154 = rd_cmd == `CMD_TEST && rd_cmdex == `CMDEX_TEST_modregrm;
193
wire cond_155 = rd_cmd == `CMD_TEST && rd_cmdex == `CMDEX_TEST_modregrm_imm;
194
wire cond_156 = rd_cmd == `CMD_TEST && rd_cmdex == `CMDEX_TEST_immediate;
195
wire cond_157 = rd_cmd == `CMD_RET_far && rd_cmdex == `CMDEX_RET_far_outer_STEP_3;
196
wire cond_158 = rd_cmd == `CMD_RET_far && rd_cmdex == `CMDEX_RET_far_STEP_1;
197
wire cond_159 = real_mode || v8086_mode;
198
wire cond_160 = rd_cmd == `CMD_RET_far && rd_cmdex == `CMDEX_RET_far_STEP_2;
199
wire cond_161 = rd_cmd == `CMD_RET_far && rd_cmdex == `CMDEX_RET_far_outer_STEP_4;
200
wire cond_162 = rd_cmd == `CMD_LODS;
201
wire cond_163 = rd_cmd == `CMD_XCHG && rd_cmdex == `CMDEX_XCHG_implicit;
202
wire cond_164 = rd_mutex_busy_implicit_reg || rd_mutex_busy_eax;
203
wire cond_165 = rd_cmd == `CMD_XCHG && rd_cmdex == `CMDEX_XCHG_modregrm;
204
wire cond_166 = rd_cmd == `CMD_XCHG && rd_cmdex == `CMDEX_XCHG_modregrm_LAST;
205
wire cond_167 = rd_cmd == `CMD_PUSH && (rd_cmdex == `CMDEX_PUSH_immediate || rd_cmdex == `CMDEX_PUSH_immediate_se);
206
wire cond_168 = rd_cmd == `CMD_PUSH && rd_cmdex == `CMDEX_PUSH_implicit;
207
wire cond_169 = rd_cmd == `CMD_PUSH && rd_cmdex == `CMDEX_PUSH_modregrm;
208
wire cond_170 = rd_cmd == `CMD_INT_INTO && rd_cmdex == `CMDEX_INT_INTO_INTO_STEP_0;
209
wire cond_171 = rd_mutex_busy_eflags;
210
wire cond_172 = rd_cmd == `CMD_CPUID;
211
wire cond_173 = rd_cmd == `CMD_IN && rd_cmdex != `CMDEX_IN_idle;
212
wire cond_174 = rd_in_condition;
213
wire cond_175 = ~(io_allow_check_needed) || rd_cmdex == `CMDEX_IN_protected;
214
wire cond_176 = ~(rd_io_ready);
215
wire cond_177 = rd_cmd == `CMD_NOT;
216
wire cond_178 = (rd_cmd == `CMD_LAR || rd_cmd == `CMD_LSL || rd_cmd == `CMD_VERR || rd_cmd == `CMD_VERW) && rd_cmdex == `CMDEX_LAR_LSL_VERR_VERW_STEP_1;
217
wire cond_179 = (rd_cmd == `CMD_LAR || rd_cmd == `CMD_LSL || rd_cmd == `CMD_VERR || rd_cmd == `CMD_VERW) && rd_cmdex == `CMDEX_LAR_LSL_VERR_VERW_STEP_2;
218
wire cond_180 = ~(glob_param_1[15:2] == 14'd0) && ~(rd_descriptor_not_in_limits);
219
wire cond_181 = (rd_cmd == `CMD_LAR || rd_cmd == `CMD_LSL) && rd_cmdex == `CMDEX_LAR_LSL_VERR_VERW_STEP_LAST;
220
wire cond_182 = rd_cmd == `CMD_LAR;
221
wire cond_183 = exe_mutex[`MUTEX_ACTIVE_BIT];
222
wire cond_184 = glob_param_2[1:0] == 2'd0 && ((glob_param_2[2] == 1'd0 && rd_cmd == `CMD_LAR) || (glob_param_2[3] == 1'd0 && rd_cmd == `CMD_LSL));
223
wire cond_185 = (rd_cmd == `CMD_VERR || rd_cmd == `CMD_VERW) && rd_cmdex == `CMDEX_LAR_LSL_VERR_VERW_STEP_LAST;
224
wire cond_186 = glob_param_2[1:0] == 2'd0 && ((glob_param_2[4] == 1'd0 && rd_cmd == `CMD_VERR) || (glob_param_2[5] == 1'd0 && rd_cmd == `CMD_VERW));
225
wire cond_187 =  (rd_cmd == `CMD_int_2  && rd_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_0) || (rd_cmd == `CMD_CALL_2 && rd_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_0) ;
226
wire cond_188 = rd_ss_esp_from_tss_fault;
227
wire cond_189 =  (rd_cmd == `CMD_int_2 && rd_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_1) || (rd_cmd == `CMD_CALL_2 && rd_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_1) ;
228
wire cond_190 =  (rd_cmd == `CMD_int_2 && rd_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_2) || (rd_cmd == `CMD_CALL_2 && rd_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_2) ;
229
wire cond_191 = rd_cmd == `CMD_STOS;
230
wire cond_192 = rd_mutex_busy_eax || (rd_mutex_busy_ecx && rd_prefix_group_1_rep != 2'd0);
231
wire cond_193 = rd_cmd == `CMD_INS && (rd_cmdex == `CMDEX_INS_real_1 || rd_cmdex == `CMDEX_INS_protected_1);
232
wire cond_194 = rd_mutex_busy_ecx && rd_prefix_group_1_rep != 2'd0;
233
wire cond_195 = ~(rd_string_ignore) && ~(io_allow_check_needed && rd_cmdex == `CMDEX_INS_real_1);
234
wire cond_196 = rd_cmd == `CMD_INS && (rd_cmdex == `CMDEX_INS_real_2 || rd_cmdex == `CMDEX_INS_protected_2);
235
wire cond_197 = rd_mutex_busy_edx || (rd_mutex_busy_ecx && rd_prefix_group_1_rep != 2'd0);
236
wire cond_198 = rd_cmd == `CMD_OUTS;
237
wire cond_199 = ~(rd_string_ignore) && ~(io_allow_check_needed && rd_cmdex == `CMDEX_OUTS_first);
238
wire cond_200 = rd_cmd == `CMD_PUSHF;
239
wire cond_201 = rd_cmd == `CMD_JMP && rd_cmdex == `CMDEX_JMP_Jv_STEP_0;
240
wire cond_202 = rd_cmd == `CMD_JMP  && rd_cmdex == `CMDEX_JMP_Ap_STEP_1;
241
wire cond_203 = rd_cmd == `CMD_JMP_2 && rd_cmdex == `CMDEX_JMP_2_call_gate_STEP_0;
242
wire cond_204 = rd_cmd == `CMD_JMP_2 && rd_cmdex == `CMDEX_JMP_2_call_gate_STEP_1;
243
wire cond_205 = rd_cmd == `CMD_JMP  && rd_cmdex == `CMDEX_JMP_Ev_STEP_0;
244
wire cond_206 = rd_cmd == `CMD_JMP  && (rd_cmdex == `CMDEX_JMP_Ep_STEP_0  || rd_cmdex == `CMDEX_JMP_Ep_STEP_1);
245
wire cond_207 = rd_cmdex == `CMDEX_JMP_Ep_STEP_1;
246
wire cond_208 = rd_cmd == `CMD_JMP  && rd_cmdex == `CMDEX_JMP_Ap_STEP_0;
247
wire cond_209 = rd_cmd == `CMD_JMP && rd_cmdex == `CMDEX_JMP_protected_STEP_0;
248
wire cond_210 = rd_cmd == `CMD_JMP && rd_cmdex == `CMDEX_JMP_task_gate_STEP_0;
249
wire cond_211 = rd_cmd == `CMD_JMP && rd_cmdex == `CMDEX_JMP_task_gate_STEP_1;
250
wire cond_212 = rd_cmd == `CMD_OUT;
251
wire cond_213 = rd_cmd == `CMD_MOV && rd_cmdex == `CMDEX_MOV_memoffset;
252
wire cond_214 = ~(rd_decoder[1]);
253
wire cond_215 = rd_mutex_busy_eax || ~(write_virtual_check_ready);
254
wire cond_216 = rd_cmd == `CMD_MOV && rd_cmdex == `CMDEX_MOV_modregrm && rd_decoder[1];
255
wire cond_217 = rd_cmd == `CMD_MOV && rd_cmdex == `CMDEX_MOV_modregrm && ~(rd_decoder[1]);
256
wire cond_218 = rd_mutex_busy_modregrm_reg;
257
wire cond_219 = rd_cmd == `CMD_MOV && rd_cmdex == `CMDEX_MOV_modregrm_imm;
258
wire cond_220 = rd_cmd == `CMD_MOV && rd_cmdex == `CMDEX_MOV_immediate;
259
wire cond_221 = rd_cmd == `CMD_LAHF || rd_cmd == `CMD_CBW || rd_cmd == `CMD_CWD;
260
wire cond_222 = rd_cmd == `CMD_POPF && rd_cmdex == `CMDEX_POPF_STEP_0;
261
wire cond_223 = rd_cmd == `CMD_CLI || rd_cmd == `CMD_STI;
262
wire cond_224 = rd_cmd == `CMD_BOUND && rd_cmdex == `CMDEX_BOUND_STEP_FIRST;
263
wire cond_225 = rd_cmd == `CMD_BOUND && rd_cmdex == `CMDEX_BOUND_STEP_LAST;
264
wire cond_226 = rd_cmd == `CMD_SALC && rd_cmdex == `CMDEX_SALC_STEP_0;
265
wire cond_227 = rd_cmd == `CMD_task_switch && rd_cmdex == `CMDEX_task_switch_STEP_6;
266
wire cond_228 = glob_param_1[`TASK_SWITCH_SOURCE_BITS] == `TASK_SWITCH_FROM_JUMP || glob_param_1[`TASK_SWITCH_SOURCE_BITS] == `TASK_SWITCH_FROM_IRET;
267
wire cond_229 = rd_cmd == `CMD_task_switch && rd_cmdex == `CMDEX_task_switch_STEP_9;
268
wire cond_230 = rd_cmd == `CMD_task_switch_2 && rd_cmdex <= `CMDEX_task_switch_2_STEP_7;
269
wire cond_231 = rd_cmd == `CMD_task_switch_2 && rd_cmdex == `CMDEX_task_switch_2_STEP_13;
270
wire cond_232 = rd_cmd == `CMD_task_switch && rd_cmdex >= `CMDEX_task_switch_STEP_12 && rd_cmdex <= `CMDEX_task_switch_STEP_14;
271
wire cond_233 = rd_cmdex == `CMDEX_task_switch_STEP_12 && glob_descriptor[`DESC_BITS_TYPE] <= 4'd3;
272
wire cond_234 = rd_cmdex == `CMDEX_task_switch_STEP_12 && glob_descriptor[`DESC_BITS_TYPE] >  4'd3;
273
wire cond_235 = rd_cmdex == `CMDEX_task_switch_STEP_13 || rd_cmdex == `CMDEX_task_switch_STEP_14;
274
wire cond_236 = rd_cmdex != `CMDEX_task_switch_STEP_12 || (glob_descriptor[`DESC_BITS_TYPE] > 4'd3 && cr0_pg);
275
wire cond_237 = rd_cmd == `CMD_task_switch_3;
276
wire cond_238 = rd_cmdex <= `CMDEX_task_switch_3_STEP_12 || glob_descriptor[`DESC_BITS_TYPE] > 4'd3;
277
wire cond_239 = rd_cmd == `CMD_task_switch_4 && rd_cmdex == `CMDEX_task_switch_4_STEP_0;
278
wire cond_240 = glob_param_1[`TASK_SWITCH_SOURCE_BITS] != `TASK_SWITCH_FROM_IRET;
279
wire cond_241 = rd_cmd == `CMD_task_switch_4 && rd_cmdex == `CMDEX_task_switch_4_STEP_2;
280
wire cond_242 = glob_param_1[`SELECTOR_BIT_TI] == 1'b0 && glob_param_1[15:2] != 14'd0 && ~(rd_descriptor_not_in_limits);
281
wire cond_243 = rd_cmd == `CMD_task_switch_4 && rd_cmdex >= `CMDEX_task_switch_4_STEP_3 && rd_cmdex <= `CMDEX_task_switch_4_STEP_8;
282
wire cond_244 = glob_param_1[15:2] != 14'd0 && ~(rd_descriptor_not_in_limits);
283
wire cond_245 = rd_cmd == `CMD_LEA;
284
wire cond_246 = (rd_cmd == `CMD_SGDT || rd_cmd == `CMD_SIDT);
285
wire cond_247 = rd_cmdex == `CMDEX_SGDT_SIDT_STEP_1;
286
wire cond_248 = rd_cmdex == `CMDEX_SGDT_SIDT_STEP_2;
287
wire cond_249 = rd_cmd == `CMD_MOVS;
288
wire cond_250 = rd_cmd == `CMD_MOVSX || rd_cmd == `CMD_MOVZX;
289
wire cond_251 = rd_cmd == `CMD_POPA;
290
wire cond_252 = rd_cmdex[2:0] > 3'd0;
291
wire cond_253 = rd_cmdex[2:0] == 3'd7;
292
wire cond_254 = rd_cmd == `CMD_debug_reg && rd_cmdex == `CMDEX_debug_reg_MOV_store_STEP_0;
293
wire cond_255 = rd_cmd == `CMD_debug_reg && rd_cmdex == `CMDEX_debug_reg_MOV_load_STEP_0;
294
wire cond_256 = rd_cmd == `CMD_XLAT;
295
wire cond_257 = rd_cmd == `CMD_AAA || rd_cmd == `CMD_AAS || rd_cmd == `CMD_DAA || rd_cmd == `CMD_DAS;
296
wire cond_258 = { rd_cmd[6:1], 1'd0 } == `CMD_BSx;
297
//======================================================== saves
298
//======================================================== always
299
//======================================================== sets
300
assign rd_glob_param_5_set =
301
    (cond_22 && ~cond_16)? (`TRUE) :
302
    (cond_98 && cond_100)? (`TRUE) :
303
    (cond_190 && ~cond_16 && cond_90)? (`TRUE) :
304
    (cond_190 && ~cond_16 && ~cond_90)? (`TRUE) :
305
    1'd0;
306
assign rd_glob_param_2_set =
307
    (cond_43)? (`TRUE) :
308
    (cond_48 && ~cond_49 && cond_50)? (`TRUE) :
309
    (cond_53 && ~cond_50)? (`TRUE) :
310
    (cond_64)? (`TRUE) :
311
    (cond_79 && cond_81)? (`TRUE) :
312
    (cond_89 && ~cond_16 && cond_90)? (`TRUE) :
313
    (cond_89 && ~cond_16 && ~cond_90)? (`TRUE) :
314
    (cond_91 && cond_94)? (`TRUE) :
315
    (cond_98 && cond_101)? (`TRUE) :
316
    (cond_158 && ~cond_9 && cond_159)? (`TRUE) :
317
    (cond_160 && ~cond_9 && cond_72)? (`TRUE) :
318
    (cond_179 && cond_180 && ~cond_9)? (`TRUE) :
319
    (cond_179 && ~cond_180)? (`TRUE) :
320
    (cond_203 && ~cond_16)? (`TRUE) :
321
    (cond_227 && ~cond_16 && cond_228)? (`TRUE) :
322
    (cond_241 && ~cond_16 && cond_242)? (`TRUE) :
323
    (cond_241 && ~cond_16 && ~cond_242)? (`TRUE) :
324
    (cond_243 && ~cond_16 && cond_70)? (`TRUE) :
325
    (cond_243 && ~cond_16 && ~cond_70 && cond_244)? (`TRUE) :
326
    (cond_243 && ~cond_16 && ~cond_70 && ~cond_244)? (`TRUE) :
327
    1'd0;
328
assign rd_req_all =
329
    (cond_251 && cond_253)? (`TRUE) :
330
    1'd0;
331
assign rd_req_esp =
332
    (cond_27)? (`TRUE) :
333
    (cond_43)? (`TRUE) :
334
    (cond_75)? (`TRUE) :
335
    (cond_103)? (`TRUE) :
336
    (cond_105)? (`TRUE) :
337
    (cond_124)? (`TRUE) :
338
    (cond_128)? (`TRUE) :
339
    (cond_130)? (`TRUE) :
340
    (cond_131)? (`TRUE) :
341
    (cond_132)? (`TRUE) :
342
    (cond_138 && ~cond_9)? (`TRUE) :
343
    (cond_167)? (`TRUE) :
344
    (cond_168)? (`TRUE) :
345
    (cond_169)? (`TRUE) :
346
    (cond_200)? (`TRUE) :
347
    (cond_222)? (`TRUE) :
348
    1'd0;
349
assign rd_src_is_cmdex =
350
    (cond_124)? (`TRUE) :
351
    (cond_128)? (`TRUE) :
352
    (cond_230)? (`TRUE) :
353
    1'd0;
354
assign rd_req_implicit_reg =
355
    (cond_41)? (`TRUE) :
356
    (cond_47)? (`TRUE) :
357
    (cond_103)? (`TRUE) :
358
    (cond_163)? (`TRUE) :
359
    (cond_220)? (`TRUE) :
360
    1'd0;
361
assign rd_req_reg =
362
    (cond_6)? (`TRUE) :
363
    (cond_54)? (`TRUE) :
364
    (cond_133)? (`TRUE) :
365
    (cond_135)? (          rd_decoder[3]) :
366
    (cond_143 && cond_1 && cond_144)? ( rd_arith_modregrm_to_reg) :
367
    (cond_143 && cond_3 && cond_146)? (`TRUE) :
368
    (cond_166)? (`TRUE) :
369
    (cond_181 && ~cond_183 && cond_184)? (`TRUE) :
370
    (cond_216)? (`TRUE) :
371
    (cond_245)? (`TRUE) :
372
    (cond_258)? (`TRUE) :
373
    1'd0;
374
assign rd_dst_is_0 =
375
    (cond_30)? (`TRUE) :
376
    1'd0;
377
assign address_esi =
378
    (cond_114)? (`TRUE) :
379
    (cond_162)? (`TRUE) :
380
    (cond_198)? (`TRUE) :
381
    (cond_249)? (`TRUE) :
382
    1'd0;
383
assign address_stack_save =
384
    (cond_22 && cond_26)? (`TRUE) :
385
    (cond_91 && cond_92)? (`TRUE) :
386
    (cond_95 && cond_96)? (`TRUE) :
387
    (cond_98 && cond_99)? (`TRUE) :
388
    (cond_158)? (`TRUE) :
389
    (cond_157)? (`TRUE) :
390
    1'd0;
391
assign read_rmw_virtual =
392
    (cond_0 && cond_3 && ~cond_4)? (`TRUE) :
393
    (cond_30 && cond_3 && ~cond_9)? (`TRUE) :
394
    (cond_40 && cond_3 && ~cond_9)? (`TRUE) :
395
    (cond_44 && cond_3 && ~cond_46)? (`TRUE) :
396
    (cond_76 && cond_3 && ~cond_78)? (    rd_cmd[1:0] != 2'd0) :
397
    (cond_110 && cond_3 && ~cond_9)? (`TRUE) :
398
    (cond_111 && cond_3 && ~cond_113)? (`TRUE) :
399
    (cond_127 && cond_3 && ~cond_4)? (`TRUE) :
400
    (cond_130)? (`TRUE) :
401
    (cond_139 && cond_3 && ~cond_46)? (`TRUE) :
402
    (cond_140 && cond_3 && ~cond_142)? (`TRUE) :
403
    (cond_143 && cond_3 && ~cond_46 && cond_145)? (`TRUE) :
404
    (cond_147 && cond_3 && ~cond_9 && cond_148)? (`TRUE) :
405
    (cond_165 && cond_3 && ~cond_4)? (`TRUE) :
406
    (cond_177 && cond_3 && ~cond_9)? (`TRUE) :
407
    (cond_193 && ~cond_194 && cond_195)? (`TRUE) :
408
    1'd0;
409
assign address_stack_pop_speedup =
410
    (cond_79 && cond_80)? (`TRUE) :
411
    (cond_160)? (  real_mode || v8086_mode) :
412
    (cond_251 && cond_252)? (`TRUE) :
413
    1'd0;
414
assign io_read =
415
    (cond_173 && ~cond_174 && cond_175)? (`TRUE) :
416
    (cond_196 && ~cond_197 && cond_195)? (`TRUE) :
417
    1'd0;
418
assign address_leave =
419
    (cond_138)? (`TRUE) :
420
    1'd0;
421
assign rd_dst_is_eax =
422
    (cond_37 && ~cond_38 && cond_39)? (`TRUE) :
423
    (cond_67)? (`TRUE) :
424
    (cond_149)? (`TRUE) :
425
    (cond_156)? (`TRUE) :
426
    (cond_213 && cond_214)? (`TRUE) :
427
    (cond_256)? (`TRUE) :
428
    (cond_257)? (`TRUE) :
429
    1'd0;
430
assign rd_src_is_imm =
431
    (cond_10)? (`TRUE) :
432
    (cond_13)? (`TRUE) :
433
    (cond_67)? (`TRUE) :
434
    (cond_149)? (`TRUE) :
435
    (cond_156)? (`TRUE) :
436
    (cond_167)? (`TRUE) :
437
    (cond_208)? (`TRUE) :
438
    (cond_220)? (`TRUE) :
439
    1'd0;
440
assign address_bits_transform =
441
    (cond_76)? ( rd_cmdex == `CMDEX_BTx_modregrm) :
442
    1'd0;
443
assign rd_src_is_1 =
444
    (cond_40)? (`TRUE) :
445
    (cond_41)? (`TRUE) :
446
    (cond_110)? (            rd_cmdex == `CMDEX_Shift_modregrm) :
447
    1'd0;
448
assign read_system_dword =
449
    (cond_189)? ( rd_ss_esp_from_tss_386) :
450
    (cond_232 && cond_236 && ~cond_9)? ( glob_descriptor[`DESC_BITS_TYPE] >  4'd3) :
451
    (cond_237 && cond_238)? ( glob_descriptor[`DESC_BITS_TYPE] >  4'd3 && rd_cmdex <= `CMDEX_task_switch_3_STEP_7) :
452
    1'd0;
453
assign address_stack_for_ret_first =
454
    (cond_158)? (`TRUE) :
455
    1'd0;
456
assign rd_req_eax =
457
    (cond_67)? (`TRUE) :
458
    (cond_107)? (`TRUE) :
459
    (cond_127)? (`TRUE) :
460
    (cond_135)? (          ~(rd_decoder[3])) :
461
    (cond_149 && cond_144)? (`TRUE) :
462
    (cond_150)? (`TRUE) :
463
    (cond_162 && ~cond_38 && cond_39)? (`TRUE) :
464
    (cond_163)? (`TRUE) :
465
    (cond_172)? (`TRUE) :
466
    (cond_213 && cond_214)? (`TRUE) :
467
    (cond_221)? ( rd_cmd != `CMD_CWD) :
468
    (cond_226)? (`TRUE) :
469
    (cond_256)? (`TRUE) :
470
    (cond_257)? (`TRUE) :
471
    1'd0;
472
assign address_stack_for_iret_last =
473
    (cond_98 && cond_101)? (`TRUE) :
474
    1'd0;
475
assign rd_glob_param_3_value =
476
    (cond_15 && ~cond_16 && cond_17)? ( 32'd0) :
477
    (cond_22 && cond_25)? ( { 7'd0, rd_call_gate_param, glob_param_3[19:0] }) :
478
    (cond_79 && cond_83)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
479
    (cond_89)? ( { 10'd0, rd_consumed, 18'd0 }) :
480
    (cond_91 && cond_92)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
481
    (cond_97)? ( glob_param_1) :
482
    (cond_157)? ( glob_param_1) :
483
    (cond_187 && ~cond_188)? ( { 16'd0, read_4[15:0] }) :
484
    (cond_209 && ~cond_16 && cond_17)? ( 32'd0) :
485
    32'd0;
486
assign read_virtual =
487
    (cond_7 && cond_3 && ~cond_9)? (`TRUE) :
488
    (cond_11 && ~cond_9)? (`TRUE) :
489
    (cond_22 && ~cond_16)? (`TRUE) :
490
    (cond_37 && ~cond_38 && cond_39)? (`TRUE) :
491
    (cond_43 && ~cond_9)? (`TRUE) :
492
    (cond_48 && ~cond_49 && cond_50)? (`TRUE) :
493
    (cond_51 && cond_52)? (`TRUE) :
494
    (cond_53)? (`TRUE) :
495
    (cond_55 && cond_56 && cond_3 && ~cond_9)? (`TRUE) :
496
    (cond_75 && ~cond_9)? (`TRUE) :
497
    (cond_76 && cond_3 && ~cond_78)? (        rd_cmd[1:0] == 2'd0) :
498
    (cond_79 && ~cond_84 && cond_85)? (`TRUE) :
499
    (cond_91)? (`TRUE) :
500
    (cond_95)? (`TRUE) :
501
    (cond_97)? (`TRUE) :
502
    (cond_98)? (`TRUE) :
503
    (cond_103 && ~cond_104)? (`TRUE) :
504
    (cond_105 && ~cond_104)? (`TRUE) :
505
    (cond_107 && cond_3 && ~cond_109)? (`TRUE) :
506
    (cond_114 && ~cond_38 && cond_39)? (`TRUE) :
507
    (cond_115 && cond_39)? (`TRUE) :
508
    (cond_117 && cond_118 && cond_3 && ~cond_9)? (`TRUE) :
509
    (cond_121 && cond_118 && ~cond_9)? (`TRUE) :
510
    (cond_132)? (`TRUE) :
511
    (cond_133 && cond_3 && ~cond_9)? (`TRUE) :
512
    (cond_135 && cond_3 && ~cond_137)? (`TRUE) :
513
    (cond_138 && ~cond_9)? (`TRUE) :
514
    (cond_143 && cond_3 && ~cond_46 && ~cond_145)? (`TRUE) :
515
    (cond_147 && cond_3 && ~cond_9 && ~cond_148)? (`TRUE) :
516
    (cond_150 && cond_3 && ~cond_152)? (`TRUE) :
517
    (cond_154 && cond_3 && ~cond_46)? (`TRUE) :
518
    (cond_155 && cond_3 && ~cond_9)? (`TRUE) :
519
    (cond_157)? (`TRUE) :
520
    (cond_158 && ~cond_9)? (`TRUE) :
521
    (cond_160 && ~cond_9)? (`TRUE) :
522
    (cond_161)? (`TRUE) :
523
    (cond_162 && ~cond_38 && cond_39)? (`TRUE) :
524
    (cond_169 && cond_3 && ~cond_9)? (`TRUE) :
525
    (cond_178 && cond_3 && ~cond_9)? (`TRUE) :
526
    (cond_198 && ~cond_38 && cond_199)? (`TRUE) :
527
    (cond_205 && cond_3 && ~cond_9)? (`TRUE) :
528
    (cond_206 && ~cond_9)? (`TRUE) :
529
    (cond_213 && cond_214 && ~cond_9)? (`TRUE) :
530
    (cond_216 && cond_3 && ~cond_9)? (`TRUE) :
531
    (cond_222 && ~cond_9)? (`TRUE) :
532
    (cond_224 && ~cond_9)? (`TRUE) :
533
    (cond_225 && ~cond_9)? (`TRUE) :
534
    (cond_249 && ~cond_38 && cond_39)? (`TRUE) :
535
    (cond_250 && cond_3 && ~cond_9)? (`TRUE) :
536
    (cond_251 && ~cond_104)? (`TRUE) :
537
    (cond_256 && ~cond_9)? (`TRUE) :
538
    (cond_258 && cond_3 && ~cond_9)? (`TRUE) :
539
    1'd0;
540
assign rd_glob_param_4_value =
541
    (cond_98 && cond_99)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
542
    (cond_161)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
543
    (cond_189)? ( (rd_ss_esp_from_tss_386)? read_4 : { 16'd0, read_4[15:0] }) :
544
    32'd0;
545
assign address_stack_pop_for_call =
546
    (cond_22)? (`TRUE) :
547
    1'd0;
548
assign rd_glob_param_5_value =
549
    (cond_22 && ~cond_16)? ( read_4) :
550
    (cond_98 && cond_100)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
551
    (cond_190 && ~cond_16 && cond_90)? ( 32'd0) :
552
    (cond_190 && ~cond_16 && ~cond_90)? ( { 31'd0, rd_descriptor_not_in_limits }) :
553
    32'd0;
554
assign write_virtual_check =
555
    (cond_28 && cond_3 && ~cond_9)? (`TRUE) :
556
    (cond_106 && cond_3)? (`TRUE) :
557
    (cond_116 && cond_3)? (`TRUE) :
558
    (cond_126 && cond_3)? (`TRUE) :
559
    (cond_213 && ~cond_214)? (`TRUE) :
560
    (cond_217 && cond_3 && ~cond_218)? (`TRUE) :
561
    (cond_219 && cond_3 && ~cond_218)? (`TRUE) :
562
    (cond_246)? (`TRUE) :
563
    1'd0;
564
assign rd_req_esi =
565
    (cond_114 && ~cond_38 && cond_39)? (`TRUE) :
566
    (cond_115 && cond_39)? (`TRUE) :
567
    (cond_162 && ~cond_38 && cond_39)? (`TRUE) :
568
    (cond_198 && ~cond_38 && cond_199 && ~cond_5)? (`TRUE) :
569
    (cond_249 && ~cond_38 && cond_39)? (`TRUE) :
570
    1'd0;
571
assign rd_dst_is_implicit_reg =
572
    (cond_41)? (`TRUE) :
573
    (cond_47)? (`TRUE) :
574
    (cond_103)? (`TRUE) :
575
    (cond_163)? (`TRUE) :
576
    (cond_220)? (`TRUE) :
577
    1'd0;
578
assign rd_req_eflags =
579
    (cond_6)? (`TRUE) :
580
    (cond_30)? (`TRUE) :
581
    (cond_37 && ~cond_38 && cond_39 && ~cond_5)? (`TRUE) :
582
    (cond_40)? (`TRUE) :
583
    (cond_41)? (`TRUE) :
584
    (cond_44 && cond_1)? (`TRUE) :
585
    (cond_60)? (`TRUE) :
586
    (cond_67)? (`TRUE) :
587
    (cond_76)? (`TRUE) :
588
    (cond_110)? (`TRUE) :
589
    (cond_111)? (`TRUE) :
590
    (cond_114 && ~cond_38 && cond_39)? (`TRUE) :
591
    (cond_115 && cond_39)? (`TRUE) :
592
    (cond_127)? (`TRUE) :
593
    (cond_133)? (`TRUE) :
594
    (cond_135)? (`TRUE) :
595
    (cond_139)? (`TRUE) :
596
    (cond_140)? (`TRUE) :
597
    (cond_143)? (`TRUE) :
598
    (cond_147)? (`TRUE) :
599
    (cond_149)? (`TRUE) :
600
    (cond_150)? (`TRUE) :
601
    (cond_154)? (`TRUE) :
602
    (cond_155)? (`TRUE) :
603
    (cond_156)? (`TRUE) :
604
    (cond_181)? (`TRUE) :
605
    (cond_185)? (`TRUE) :
606
    (cond_222)? (`TRUE) :
607
    (cond_223)? (`TRUE) :
608
    (cond_257)? (`TRUE) :
609
    (cond_258)? (`TRUE) :
610
    1'd0;
611
assign rd_extra_wire =
612
    (cond_14)? ( rd_decoder[55:24]) :
613
    (cond_181 && cond_182)? ( { 8'd0, glob_descriptor[55:40], 8'd0 }) :
614
    (cond_181 && ~cond_182)? ( glob_desc_limit) :
615
    (cond_202)? ( rd_decoder[55:24]) :
616
    32'd0;
617
assign address_memoffset =
618
    (cond_213)? (`TRUE) :
619
    1'd0;
620
assign rd_src_is_reg =
621
    (cond_0)? (`TRUE) :
622
    (cond_44 && cond_1)? (`TRUE) :
623
    (cond_76)? (           rd_cmdex == `CMDEX_BTx_modregrm) :
624
    (cond_127)? (`TRUE) :
625
    (cond_139)? (`TRUE) :
626
    (cond_140)? (`TRUE) :
627
    (cond_143)? (  rd_arith_modregrm_to_rm) :
628
    (cond_154)? (`TRUE) :
629
    (cond_165)? (`TRUE) :
630
    (cond_217)? (`TRUE) :
631
    1'd0;
632
assign io_read_address =
633
    (cond_173)? ( (rd_cmdex == `CMDEX_IN_imm)? { 8'd0, rd_decoder[15:8] } : (rd_cmdex == `CMDEX_IN_protected)? glob_param_1[15:0] : edx[15:0]) :
634
    (cond_196)? ( edx[15:0]) :
635
    16'd0;
636
assign rd_req_memory =
637
    (cond_6)? (  rd_modregrm_mod != 2'b11) :
638
    (cond_27)? (`TRUE) :
639
    (cond_28 && cond_3)? (`TRUE) :
640
    (cond_30 && cond_3 && ~cond_9)? (`TRUE) :
641
    (cond_40 && cond_3)? (`TRUE) :
642
    (cond_44 && cond_3)? (`TRUE) :
643
    (cond_76 && cond_3)? ( rd_cmd[1:0] != 2'd0) :
644
    (cond_106 && cond_3)? (`TRUE) :
645
    (cond_110 && cond_3)? (`TRUE) :
646
    (cond_111 && cond_3)? (`TRUE) :
647
    (cond_116 && cond_3)? (`TRUE) :
648
    (cond_124)? (`TRUE) :
649
    (cond_126 && cond_3)? (`TRUE) :
650
    (cond_127 && cond_3 && ~cond_4)? (`TRUE) :
651
    (cond_128)? (`TRUE) :
652
    (cond_131)? (`TRUE) :
653
    (cond_132)? (`TRUE) :
654
    (cond_139 && cond_3)? (`TRUE) :
655
    (cond_140 && cond_3)? (`TRUE) :
656
    (cond_143 && cond_3 && cond_145)? (`TRUE) :
657
    (cond_147 && cond_3 && cond_148)? (`TRUE) :
658
    (cond_166 && cond_3)? (`TRUE) :
659
    (cond_167)? (`TRUE) :
660
    (cond_168)? (`TRUE) :
661
    (cond_169)? (`TRUE) :
662
    (cond_177 && cond_3)? (`TRUE) :
663
    (cond_191 && ~cond_192 && cond_39)? (`TRUE) :
664
    (cond_196 && ~cond_197 && cond_195)? (`TRUE) :
665
    (cond_200)? (`TRUE) :
666
    (cond_213 && ~cond_214)? (`TRUE) :
667
    (cond_217 && cond_3)? (`TRUE) :
668
    (cond_219 && cond_3)? (`TRUE) :
669
    (cond_227 && ~cond_16 && cond_228)? (`TRUE) :
670
    (cond_231)? (`TRUE) :
671
    (cond_246)? (`TRUE) :
672
    (cond_249 && ~cond_38 && cond_39)? (`TRUE) :
673
    1'd0;
674
assign rd_glob_param_3_set =
675
    (cond_15 && ~cond_16 && cond_17)? (`TRUE) :
676
    (cond_22 && cond_25)? (`TRUE) :
677
    (cond_79 && cond_83)? (`TRUE) :
678
    (cond_89)? (`TRUE) :
679
    (cond_91 && cond_92)? (`TRUE) :
680
    (cond_97)? (`TRUE) :
681
    (cond_157)? (`TRUE) :
682
    (cond_187 && ~cond_188)? (`TRUE) :
683
    (cond_209 && ~cond_16 && cond_17)? (`TRUE) :
684
    1'd0;
685
assign rd_glob_descriptor_value =
686
    (cond_15 && ~cond_16 && cond_17)? ( read_8) :
687
    (cond_19 && cond_20)? ( read_8) :
688
    (cond_21 && ~cond_16 && cond_17)? ( read_8) :
689
    (cond_62 && cond_20)? ( read_8) :
690
    (cond_63 && ~cond_16 && cond_17)? ( read_8) :
691
    (cond_66)? ( read_8) :
692
    (cond_69 && cond_70)? ( `DESC_MASK_P | `DESC_MASK_DPL | `DESC_MASK_SEG | `DESC_MASK_DATA_RWA | { 24'd0, 4'd0, glob_param_1[15:12], glob_param_1[11:0], 4'd0, 16'hFFFF }) :
693
    (cond_69 && cond_71)? ( `DESC_MASK_P | `DESC_MASK_SEG | { 24'd0, 4'd0, glob_param_1[15:12], glob_param_1[11:0], 4'd0, 16'd0 }) :
694
    (cond_69 && cond_72)? ( `DESC_MASK_SEG | { 24'd0, 24'd0, 16'd0 }) :
695
    (cond_73 && cond_74)? ( read_8) :
696
    (cond_89 && ~cond_16 && cond_90)? ( read_8) :
697
    (cond_179 && cond_180 && ~cond_9)? ( read_8) :
698
    (cond_190 && ~cond_16 && cond_90)? ( read_8) :
699
    (cond_204 && cond_17)? ( read_8) :
700
    (cond_209 && ~cond_16 && cond_17)? ( read_8) :
701
    (cond_211 && cond_20)? ( read_8) :
702
    (cond_241 && ~cond_16 && cond_242)? ( read_8) :
703
    (cond_243 && ~cond_16 && cond_70)? ( `DESC_MASK_P | `DESC_MASK_DPL | `DESC_MASK_SEG | `DESC_MASK_DATA_RWA | { 24'd0, 4'd0,glob_param_1[15:12], glob_param_1[11:0],4'd0, 16'hFFFF }) :
704
    (cond_243 && ~cond_16 && ~cond_70 && cond_244)? ( read_8) :
705
    64'd0;
706
assign address_stack_add_4_to_saved =
707
    (cond_95)? (`TRUE) :
708
    1'd0;
709
assign rd_dst_is_eip =
710
    (cond_10)? (`TRUE) :
711
    (cond_201)? (`TRUE) :
712
    1'd0;
713
assign rd_src_is_memory =
714
    (cond_7 && cond_3)? (`TRUE) :
715
    (cond_11)? (`TRUE) :
716
    (cond_30 && cond_3 && ~cond_9)? (`TRUE) :
717
    (cond_35 && ~cond_36)? (`TRUE) :
718
    (cond_37 && ~cond_38 && cond_39)? (`TRUE) :
719
    (cond_95)? (`TRUE) :
720
    (cond_103)? (`TRUE) :
721
    (cond_105)? (`TRUE) :
722
    (cond_107 && cond_3 && ~cond_109)? (`TRUE) :
723
    (cond_114 && ~cond_38 && cond_39)? (`TRUE) :
724
    (cond_115 && cond_39)? (`TRUE) :
725
    (cond_117 && cond_118 && cond_3)? (`TRUE) :
726
    (cond_121 && cond_118)? (`TRUE) :
727
    (cond_132)? (`TRUE) :
728
    (cond_133 && cond_3)? (`TRUE) :
729
    (cond_135 && cond_3 && ~cond_137)? (`TRUE) :
730
    (cond_138 && ~cond_9)? (`TRUE) :
731
    (cond_143 && cond_3)? (   rd_arith_modregrm_to_reg) :
732
    (cond_150 && cond_3)? (`TRUE) :
733
    (cond_162 && ~cond_38 && cond_39)? (`TRUE) :
734
    (cond_169 && cond_3)? (`TRUE) :
735
    (cond_198 && ~cond_38 && cond_199)? (`TRUE) :
736
    (cond_205 && cond_3)? (`TRUE) :
737
    (cond_206)? (`TRUE) :
738
    (cond_213 && cond_214)? (`TRUE) :
739
    (cond_216 && cond_3)? (`TRUE) :
740
    (cond_222)? (`TRUE) :
741
    (cond_224)? (`TRUE) :
742
    (cond_225)? (`TRUE) :
743
    (cond_232 && cond_236 && ~cond_9)? (`TRUE) :
744
    (cond_237 && cond_238)? (`TRUE) :
745
    (cond_239 && ~cond_16 && cond_240)? (`TRUE) :
746
    (cond_249 && ~cond_38 && cond_39)? (`TRUE) :
747
    (cond_250 && cond_3)? (`TRUE) :
748
    (cond_251 && ~cond_104)? (`TRUE) :
749
    (cond_256)? (`TRUE) :
750
    (cond_258 && cond_3)? (`TRUE) :
751
    1'd0;
752
assign read_system_qword =
753
    (cond_66 && ~cond_16)? (`TRUE) :
754
    1'd0;
755
assign rd_dst_is_modregrm_imm =
756
    (cond_133 && ~cond_134)? (`TRUE) :
757
    1'd0;
758
assign address_stack_pop =
759
    (cond_43)? (`TRUE) :
760
    (cond_75)? (`TRUE) :
761
    (cond_79)? (`TRUE) :
762
    (cond_103)? (`TRUE) :
763
    (cond_105)? (`TRUE) :
764
    (cond_158)? (       real_mode || v8086_mode) :
765
    (cond_160)? (          real_mode || v8086_mode) :
766
    (cond_222)? (`TRUE) :
767
    (cond_251)? (`TRUE) :
768
    1'd0;
769
assign rd_req_reg_not_8bit =
770
    (cond_250)? (`TRUE) :
771
    1'd0;
772
assign rd_dst_is_rm =
773
    (cond_0 && cond_1)? (`TRUE) :
774
    (cond_28 && cond_1)? (`TRUE) :
775
    (cond_30 && cond_1)? (`TRUE) :
776
    (cond_40 && cond_1 && ~cond_8)? (`TRUE) :
777
    (cond_44 && cond_1)? (`TRUE) :
778
    (cond_55 && cond_56 && cond_1)? (`TRUE) :
779
    (cond_76 && cond_1)? (`TRUE) :
780
    (cond_106 && cond_1)? (`TRUE) :
781
    (cond_110 && cond_1)? (`TRUE) :
782
    (cond_111 && cond_1)? (`TRUE) :
783
    (cond_116 && cond_1)? (`TRUE) :
784
    (cond_120)? (`TRUE) :
785
    (cond_126 && cond_1)? (`TRUE) :
786
    (cond_127 && cond_1 && ~cond_2)? (`TRUE) :
787
    (cond_139 && cond_1)? (`TRUE) :
788
    (cond_140 && cond_1)? (`TRUE) :
789
    (cond_143 && cond_1)? (   rd_arith_modregrm_to_rm) :
790
    (cond_147 && cond_1)? (`TRUE) :
791
    (cond_154 && cond_1)? (`TRUE) :
792
    (cond_155 && cond_1)? (`TRUE) :
793
    (cond_165 && cond_1)? (`TRUE) :
794
    (cond_177 && cond_1)? (`TRUE) :
795
    (cond_178 && cond_1 && ~cond_8)? (`TRUE) :
796
    (cond_217 && cond_1)? (`TRUE) :
797
    (cond_219 && cond_1)? (`TRUE) :
798
    (cond_254)? (`TRUE) :
799
    1'd0;
800
assign rd_req_edx =
801
    (cond_172)? (`TRUE) :
802
    (cond_221)? ( rd_cmd == `CMD_CWD) :
803
    1'd0;
804
assign rd_src_is_io =
805
    (cond_173 && ~cond_174 && cond_175)? (`TRUE) :
806
    (cond_196 && ~cond_197 && cond_195)? (`TRUE) :
807
    1'd0;
808
assign rd_src_is_eax =
809
    (cond_163)? (`TRUE) :
810
    (cond_191 && ~cond_192 && cond_39)? (`TRUE) :
811
    (cond_212)? (`TRUE) :
812
    (cond_213 && ~cond_214)? (`TRUE) :
813
    1'd0;
814
assign address_stack_for_ret_second =
815
    (cond_157)? (`TRUE) :
816
    1'd0;
817
assign rd_glob_param_1_set =
818
    (cond_18 && ~cond_16)? (`TRUE) :
819
    (cond_51 && cond_52)? (`TRUE) :
820
    (cond_53 && cond_50)? (`TRUE) :
821
    (cond_55 && cond_56 && cond_1 && cond_57)? (`TRUE) :
822
    (cond_55 && cond_56 && cond_1 && cond_58)? (`TRUE) :
823
    (cond_55 && cond_56 && cond_1 && cond_59)? (`TRUE) :
824
    (cond_55 && cond_56 && cond_3 && ~cond_9 && cond_57)? (`TRUE) :
825
    (cond_55 && cond_56 && cond_3 && ~cond_9 && cond_58)? (`TRUE) :
826
    (cond_55 && cond_56 && cond_3 && ~cond_9 && cond_59)? (`TRUE) :
827
    (cond_61 && ~cond_16)? (`TRUE) :
828
    (cond_65)? (`TRUE) :
829
    (cond_75)? (`TRUE) :
830
    (cond_79 && cond_82)? (`TRUE) :
831
    (cond_88)? (`TRUE) :
832
    (cond_91 && cond_93)? (`TRUE) :
833
    (cond_97)? ( rd_ready) :
834
    (cond_157)? ( rd_ready) :
835
    (cond_158 && ~cond_9 && cond_72)? (`TRUE) :
836
    (cond_160 && ~cond_9 && cond_159)? (`TRUE) :
837
    (cond_178 && cond_1 && ~cond_8)? (`TRUE) :
838
    (cond_178 && cond_3 && ~cond_9)? (`TRUE) :
839
    (cond_203 && ~cond_16)? (`TRUE) :
840
    (cond_210 && ~cond_16)? (`TRUE) :
841
    1'd0;
842
assign rd_glob_param_4_set =
843
    (cond_98 && cond_99)? (`TRUE) :
844
    (cond_161)? (`TRUE) :
845
    (cond_189)? (`TRUE) :
846
    1'd0;
847
assign address_stack_pop_next =
848
    (cond_22)? (`TRUE) :
849
    (cond_91)? (`TRUE) :
850
    (cond_95)? (`TRUE) :
851
    (cond_97)? (`TRUE) :
852
    (cond_98)? (`TRUE) :
853
    (cond_157)? (`TRUE) :
854
    (cond_158)? (  protected_mode) :
855
    (cond_160)? (     protected_mode) :
856
    (cond_161)? (`TRUE) :
857
    1'd0;
858
assign rd_req_edi =
859
    (cond_37 && ~cond_38 && cond_39 && ~cond_5)? (`TRUE) :
860
    (cond_114 && ~cond_38 && cond_39)? (`TRUE) :
861
    (cond_115 && cond_39)? (`TRUE) :
862
    (cond_191 && ~cond_192 && cond_39)? (`TRUE) :
863
    (cond_196 && ~cond_197 && cond_195)? (`TRUE) :
864
    (cond_249 && ~cond_38 && cond_39)? (`TRUE) :
865
    1'd0;
866
assign rd_glob_descriptor_set =
867
    (cond_15 && ~cond_16 && cond_17)? (`TRUE) :
868
    (cond_19 && cond_20)? (`TRUE) :
869
    (cond_21 && ~cond_16 && cond_17)? (`TRUE) :
870
    (cond_62 && cond_20)? (`TRUE) :
871
    (cond_63 && ~cond_16 && cond_17)? (`TRUE) :
872
    (cond_66)? (`TRUE) :
873
    (cond_69 && cond_70)? (`TRUE) :
874
    (cond_69 && cond_71)? (`TRUE) :
875
    (cond_69 && cond_72)? (`TRUE) :
876
    (cond_73 && cond_74)? (`TRUE) :
877
    (cond_89 && ~cond_16 && cond_90)? (`TRUE) :
878
    (cond_179 && cond_180 && ~cond_9)? (`TRUE) :
879
    (cond_190 && ~cond_16 && cond_90)? (`TRUE) :
880
    (cond_204 && cond_17)? (`TRUE) :
881
    (cond_209 && ~cond_16 && cond_17)? (`TRUE) :
882
    (cond_211 && cond_20)? (`TRUE) :
883
    (cond_241 && ~cond_16 && cond_242)? (`TRUE) :
884
    (cond_243 && ~cond_16 && cond_70)? (`TRUE) :
885
    (cond_243 && ~cond_16 && ~cond_70 && cond_244)? (`TRUE) :
886
    1'd0;
887
assign read_system_word =
888
    (cond_33 && ~cond_34)? (`TRUE) :
889
    (cond_35 && ~cond_36)? (`TRUE) :
890
    (cond_64 && ~cond_16)? (`TRUE) :
891
    (cond_65 && ~cond_16)? (`TRUE) :
892
    (cond_88)? (`TRUE) :
893
    (cond_187 && ~cond_188)? (`TRUE) :
894
    (cond_189)? (  ~(rd_ss_esp_from_tss_386)) :
895
    (cond_232 && cond_236 && ~cond_9)? (  glob_descriptor[`DESC_BITS_TYPE] <= 4'd3) :
896
    (cond_237 && cond_238)? (  glob_descriptor[`DESC_BITS_TYPE] <= 4'd3 || rd_cmdex > `CMDEX_task_switch_3_STEP_7) :
897
    1'd0;
898
assign address_enter_last =
899
    (cond_130)? (`TRUE) :
900
    1'd0;
901
assign rd_dst_is_memory_last =
902
    (cond_115 && cond_39)? (`TRUE) :
903
    1'd0;
904
assign read_system_descriptor =
905
    (cond_15 && ~cond_16 && cond_17)? (`TRUE) :
906
    (cond_19 && cond_20)? (`TRUE) :
907
    (cond_21 && ~cond_16 && cond_17)? (`TRUE) :
908
    (cond_62 && cond_20)? (`TRUE) :
909
    (cond_63 && ~cond_16 && cond_17)? (`TRUE) :
910
    (cond_73 && cond_74 && ~cond_16)? (`TRUE) :
911
    (cond_89 && ~cond_16 && cond_90)? (`TRUE) :
912
    (cond_179 && cond_180 && ~cond_9)? (`TRUE) :
913
    (cond_190 && ~cond_16 && cond_90)? (`TRUE) :
914
    (cond_204 && cond_17)? (`TRUE) :
915
    (cond_209 && ~cond_16 && cond_17)? (`TRUE) :
916
    (cond_211 && cond_20)? (`TRUE) :
917
    (cond_241 && ~cond_16 && cond_242)? (`TRUE) :
918
    (cond_243 && ~cond_16 && ~cond_70 && cond_244)? (`TRUE) :
919
    1'd0;
920
assign address_edi =
921
    (cond_37)? (`TRUE) :
922
    (cond_115)? (`TRUE) :
923
    (cond_193)? (`TRUE) :
924
    1'd0;
925
assign rd_waiting =
926
    (cond_0 && cond_1 && cond_2)? (`TRUE) :
927
    (cond_0 && cond_3 && cond_4)? (`TRUE) :
928
    (cond_0 && cond_3 && ~cond_4 && cond_5)? (`TRUE) :
929
    (cond_7 && cond_1 && cond_8)? (`TRUE) :
930
    (cond_7 && cond_3 && cond_9)? (`TRUE) :
931
    (cond_7 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
932
    (cond_11 && cond_9)? (`TRUE) :
933
    (cond_11 && ~cond_9 && cond_5)? (`TRUE) :
934
    (cond_15 && cond_16)? (`TRUE) :
935
    (cond_15 && ~cond_16 && cond_17 && cond_5)? (`TRUE) :
936
    (cond_18 && cond_16)? (`TRUE) :
937
    (cond_19 && cond_20 && cond_5)? (`TRUE) :
938
    (cond_21 && cond_16)? (`TRUE) :
939
    (cond_21 && ~cond_16 && cond_17 && cond_5)? (`TRUE) :
940
    (cond_22 && cond_16)? (`TRUE) :
941
    (cond_22 && ~cond_16 && cond_5)? (`TRUE) :
942
    (cond_28 && cond_3 && cond_9)? (`TRUE) :
943
    (cond_28 && cond_3 && ~cond_9 && cond_29)? (`TRUE) :
944
    (cond_30 && cond_1 && cond_8)? (`TRUE) :
945
    (cond_30 && cond_3 && cond_9)? (`TRUE) :
946
    (cond_30 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
947
    (cond_31 && cond_32)? (`TRUE) :
948
    (cond_33 && cond_34)? (`TRUE) :
949
    (cond_33 && ~cond_34 && cond_5)? (`TRUE) :
950
    (cond_35 && cond_36)? (`TRUE) :
951
    (cond_35 && ~cond_36 && cond_5)? (`TRUE) :
952
    (cond_37 && cond_38)? (`TRUE) :
953
    (cond_37 && ~cond_38 && cond_39 && cond_5)? (`TRUE) :
954
    (cond_40 && cond_1 && cond_8)? (`TRUE) :
955
    (cond_40 && cond_3 && cond_9)? (`TRUE) :
956
    (cond_40 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
957
    (cond_41 && cond_42)? (`TRUE) :
958
    (cond_43 && cond_9)? (`TRUE) :
959
    (cond_43 && ~cond_9 && cond_5)? (`TRUE) :
960
    (cond_44 && cond_1 && cond_45)? (`TRUE) :
961
    (cond_44 && cond_3 && cond_46)? (`TRUE) :
962
    (cond_44 && cond_3 && ~cond_46 && cond_5)? (`TRUE) :
963
    (cond_47 && cond_42)? (`TRUE) :
964
    (cond_48 && cond_49)? (`TRUE) :
965
    (cond_48 && ~cond_49 && cond_50 && cond_5)? (`TRUE) :
966
    (cond_51 && cond_52 && cond_5)? (`TRUE) :
967
    (cond_53 && cond_5)? (`TRUE) :
968
    (cond_55 && cond_56 && cond_1 && cond_8)? (`TRUE) :
969
    (cond_55 && cond_56 && cond_3 && cond_9)? (`TRUE) :
970
    (cond_55 && cond_56 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
971
    (cond_61 && cond_16)? (`TRUE) :
972
    (cond_62 && cond_20 && cond_5)? (`TRUE) :
973
    (cond_63 && cond_16)? (`TRUE) :
974
    (cond_63 && ~cond_16 && cond_17 && cond_5)? (`TRUE) :
975
    (cond_64 && cond_16)? (`TRUE) :
976
    (cond_64 && ~cond_16 && cond_5)? (`TRUE) :
977
    (cond_65 && cond_16)? (`TRUE) :
978
    (cond_65 && ~cond_16 && cond_5)? (`TRUE) :
979
    (cond_66 && cond_16)? (`TRUE) :
980
    (cond_66 && ~cond_16 && cond_5)? (`TRUE) :
981
    (cond_67 && cond_68)? (`TRUE) :
982
    (cond_73 && cond_74 && cond_16)? (`TRUE) :
983
    (cond_73 && cond_74 && ~cond_16 && cond_5)? (`TRUE) :
984
    (cond_75 && cond_9)? (`TRUE) :
985
    (cond_75 && ~cond_9 && cond_5)? (`TRUE) :
986
    (cond_76 && cond_1 && cond_77)? (`TRUE) :
987
    (cond_76 && cond_3 && cond_78)? (`TRUE) :
988
    (cond_76 && cond_3 && ~cond_78 && cond_5)? (`TRUE) :
989
    (cond_79 && cond_84)? (`TRUE) :
990
    (cond_79 && ~cond_84 && cond_85 && cond_5)? (`TRUE) :
991
    (cond_86 && cond_87)? (`TRUE) :
992
    (cond_88 && cond_5)? (`TRUE) :
993
    (cond_89 && cond_16)? (`TRUE) :
994
    (cond_89 && ~cond_16 && cond_90 && cond_5)? (`TRUE) :
995
    (cond_91 && cond_5)? (`TRUE) :
996
    (cond_95 && cond_5)? (`TRUE) :
997
    (cond_97 && cond_5)? (`TRUE) :
998
    (cond_98 && cond_5)? (`TRUE) :
999
    (cond_102 && cond_16)? (`TRUE) :
1000
    (cond_103 && cond_104)? (`TRUE) :
1001
    (cond_103 && ~cond_104 && cond_5)? (`TRUE) :
1002
    (cond_105 && cond_104)? (`TRUE) :
1003
    (cond_105 && ~cond_104 && cond_5)? (`TRUE) :
1004
    (cond_106 && cond_3 && cond_29)? (`TRUE) :
1005
    (cond_107 && cond_1 && cond_108)? (`TRUE) :
1006
    (cond_107 && cond_3 && cond_109)? (`TRUE) :
1007
    (cond_107 && cond_3 && ~cond_109 && cond_5)? (`TRUE) :
1008
    (cond_110 && cond_1 && cond_8)? (`TRUE) :
1009
    (cond_110 && cond_3 && cond_9)? (`TRUE) :
1010
    (cond_110 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1011
    (cond_111 && cond_1 && cond_112)? (`TRUE) :
1012
    (cond_111 && cond_3 && cond_113)? (`TRUE) :
1013
    (cond_111 && cond_3 && ~cond_113 && cond_5)? (`TRUE) :
1014
    (cond_114 && cond_38)? (`TRUE) :
1015
    (cond_114 && ~cond_38 && cond_39 && cond_5)? (`TRUE) :
1016
    (cond_115 && cond_39 && cond_5)? (`TRUE) :
1017
    (cond_116 && cond_3 && cond_29)? (`TRUE) :
1018
    (cond_117 && cond_118 && cond_1 && cond_8)? (`TRUE) :
1019
    (cond_117 && cond_118 && cond_3 && cond_9)? (`TRUE) :
1020
    (cond_117 && cond_118 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1021
    (cond_119 && cond_8)? (`TRUE) :
1022
    (cond_121 && cond_118 && cond_9)? (`TRUE) :
1023
    (cond_121 && cond_118 && ~cond_9 && cond_5)? (`TRUE) :
1024
    (cond_124 && cond_125)? (`TRUE) :
1025
    (cond_126 && cond_3 && cond_29)? (`TRUE) :
1026
    (cond_127 && cond_1 && cond_2)? (`TRUE) :
1027
    (cond_127 && cond_3 && cond_4)? (`TRUE) :
1028
    (cond_127 && cond_3 && ~cond_4 && cond_5)? (`TRUE) :
1029
    (cond_128 && cond_129)? (`TRUE) :
1030
    (cond_130 && cond_5)? (`TRUE) :
1031
    (cond_132 && cond_5)? (`TRUE) :
1032
    (cond_133 && cond_1 && cond_8)? (`TRUE) :
1033
    (cond_133 && cond_3 && cond_9)? (`TRUE) :
1034
    (cond_133 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1035
    (cond_135 && cond_1 && cond_136)? (`TRUE) :
1036
    (cond_135 && cond_3 && cond_137)? (`TRUE) :
1037
    (cond_135 && cond_3 && ~cond_137 && cond_5)? (`TRUE) :
1038
    (cond_138 && cond_9)? (`TRUE) :
1039
    (cond_138 && ~cond_9 && cond_5)? (`TRUE) :
1040
    (cond_139 && cond_1 && cond_45)? (`TRUE) :
1041
    (cond_139 && cond_3 && cond_46)? (`TRUE) :
1042
    (cond_139 && cond_3 && ~cond_46 && cond_5)? (`TRUE) :
1043
    (cond_140 && cond_1 && cond_141)? (`TRUE) :
1044
    (cond_140 && cond_3 && cond_142)? (`TRUE) :
1045
    (cond_140 && cond_3 && ~cond_142 && cond_5)? (`TRUE) :
1046
    (cond_143 && cond_1 && cond_2)? (`TRUE) :
1047
    (cond_143 && cond_3 && cond_46)? (`TRUE) :
1048
    (cond_143 && cond_3 && ~cond_46 && cond_5)? (`TRUE) :
1049
    (cond_147 && cond_1 && cond_8)? (`TRUE) :
1050
    (cond_147 && cond_3 && cond_9)? (`TRUE) :
1051
    (cond_147 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1052
    (cond_149 && cond_68)? (`TRUE) :
1053
    (cond_150 && cond_1 && cond_151)? (`TRUE) :
1054
    (cond_150 && cond_3 && cond_152)? (`TRUE) :
1055
    (cond_150 && cond_3 && ~cond_152 && cond_5)? (`TRUE) :
1056
    (cond_154 && cond_1 && cond_2)? (`TRUE) :
1057
    (cond_154 && cond_3 && cond_46)? (`TRUE) :
1058
    (cond_154 && cond_3 && ~cond_46 && cond_5)? (`TRUE) :
1059
    (cond_155 && cond_1 && cond_8)? (`TRUE) :
1060
    (cond_155 && cond_3 && cond_9)? (`TRUE) :
1061
    (cond_155 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1062
    (cond_156 && cond_68)? (`TRUE) :
1063
    (cond_157 && cond_5)? (`TRUE) :
1064
    (cond_158 && cond_9)? (`TRUE) :
1065
    (cond_158 && ~cond_9 && cond_5)? (`TRUE) :
1066
    (cond_160 && cond_9)? (`TRUE) :
1067
    (cond_160 && ~cond_9 && cond_5)? (`TRUE) :
1068
    (cond_161 && cond_5)? (`TRUE) :
1069
    (cond_162 && cond_38)? (`TRUE) :
1070
    (cond_162 && ~cond_38 && cond_39 && cond_5)? (`TRUE) :
1071
    (cond_163 && cond_164)? (`TRUE) :
1072
    (cond_165 && cond_1 && cond_2)? (`TRUE) :
1073
    (cond_165 && cond_3 && cond_4)? (`TRUE) :
1074
    (cond_165 && cond_3 && ~cond_4 && cond_5)? (`TRUE) :
1075
    (cond_168 && cond_42)? (`TRUE) :
1076
    (cond_169 && cond_1 && cond_8)? (`TRUE) :
1077
    (cond_169 && cond_3 && cond_9)? (`TRUE) :
1078
    (cond_169 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1079
    (cond_170 && cond_171)? (`TRUE) :
1080
    (cond_172 && cond_68)? (`TRUE) :
1081
    (cond_173 && cond_174)? (`TRUE) :
1082
    (cond_173 && ~cond_174 && cond_175 && cond_176)? (`TRUE) :
1083
    (cond_177 && cond_1 && cond_8)? (`TRUE) :
1084
    (cond_177 && cond_3 && cond_9)? (`TRUE) :
1085
    (cond_177 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1086
    (cond_178 && cond_1 && cond_8)? (`TRUE) :
1087
    (cond_178 && cond_3 && cond_9)? (`TRUE) :
1088
    (cond_178 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1089
    (cond_179 && cond_180 && cond_9)? (`TRUE) :
1090
    (cond_179 && cond_180 && ~cond_9 && cond_5)? (`TRUE) :
1091
    (cond_181 && cond_183)? (`TRUE) :
1092
    (cond_185 && cond_183)? (`TRUE) :
1093
    (cond_187 && cond_188)? (`TRUE) :
1094
    (cond_187 && ~cond_188 && cond_5)? (`TRUE) :
1095
    (cond_189 && cond_5)? (`TRUE) :
1096
    (cond_190 && cond_16)? (`TRUE) :
1097
    (cond_190 && ~cond_16 && cond_90 && cond_5)? (`TRUE) :
1098
    (cond_191 && cond_192)? (`TRUE) :
1099
    (cond_193 && cond_194)? (`TRUE) :
1100
    (cond_193 && ~cond_194 && cond_195 && cond_5)? (`TRUE) :
1101
    (cond_196 && cond_197)? (`TRUE) :
1102
    (cond_196 && ~cond_197 && cond_195 && cond_176)? (`TRUE) :
1103
    (cond_198 && cond_38)? (`TRUE) :
1104
    (cond_198 && ~cond_38 && cond_199 && cond_5)? (`TRUE) :
1105
    (cond_203 && cond_16)? (`TRUE) :
1106
    (cond_204 && cond_17 && cond_5)? (`TRUE) :
1107
    (cond_205 && cond_1 && cond_8)? (`TRUE) :
1108
    (cond_205 && cond_3 && cond_9)? (`TRUE) :
1109
    (cond_205 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1110
    (cond_206 && cond_9)? (`TRUE) :
1111
    (cond_206 && ~cond_9 && cond_5)? (`TRUE) :
1112
    (cond_209 && cond_16)? (`TRUE) :
1113
    (cond_209 && ~cond_16 && cond_17 && cond_5)? (`TRUE) :
1114
    (cond_210 && cond_16)? (`TRUE) :
1115
    (cond_211 && cond_20 && cond_5)? (`TRUE) :
1116
    (cond_212 && cond_68)? (`TRUE) :
1117
    (cond_213 && cond_214 && cond_9)? (`TRUE) :
1118
    (cond_213 && cond_214 && ~cond_9 && cond_5)? (`TRUE) :
1119
    (cond_213 && ~cond_214 && cond_215)? (`TRUE) :
1120
    (cond_216 && cond_1 && cond_8)? (`TRUE) :
1121
    (cond_216 && cond_3 && cond_9)? (`TRUE) :
1122
    (cond_216 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1123
    (cond_217 && cond_1 && cond_218)? (`TRUE) :
1124
    (cond_217 && cond_3 && cond_218)? (`TRUE) :
1125
    (cond_217 && cond_3 && ~cond_218 && cond_29)? (`TRUE) :
1126
    (cond_219 && cond_1 && cond_218)? (`TRUE) :
1127
    (cond_219 && cond_3 && cond_218)? (`TRUE) :
1128
    (cond_219 && cond_3 && ~cond_218 && cond_29)? (`TRUE) :
1129
    (cond_222 && cond_9)? (`TRUE) :
1130
    (cond_222 && ~cond_9 && cond_5)? (`TRUE) :
1131
    (cond_224 && cond_9)? (`TRUE) :
1132
    (cond_224 && ~cond_9 && cond_5)? (`TRUE) :
1133
    (cond_225 && cond_9)? (`TRUE) :
1134
    (cond_225 && ~cond_9 && cond_5)? (`TRUE) :
1135
    (cond_227 && cond_16)? (`TRUE) :
1136
    (cond_227 && ~cond_16 && cond_228 && cond_9)? (`TRUE) :
1137
    (cond_227 && ~cond_16 && cond_228 && ~cond_9 && cond_5)? (`TRUE) :
1138
    (cond_229 && cond_9)? (`TRUE) :
1139
    (cond_232 && cond_236 && cond_9)? (`TRUE) :
1140
    (cond_232 && cond_236 && ~cond_9 && cond_5)? (`TRUE) :
1141
    (cond_237 && cond_238 && cond_5)? (`TRUE) :
1142
    (cond_239 && cond_16)? (`TRUE) :
1143
    (cond_239 && ~cond_16 && cond_240 && cond_5)? (`TRUE) :
1144
    (cond_241 && cond_16)? (`TRUE) :
1145
    (cond_241 && ~cond_16 && cond_242 && cond_5)? (`TRUE) :
1146
    (cond_243 && cond_16)? (`TRUE) :
1147
    (cond_243 && ~cond_16 && ~cond_70 && cond_244 && cond_5)? (`TRUE) :
1148
    (cond_245 && cond_32)? (`TRUE) :
1149
    (cond_246 && cond_29)? (`TRUE) :
1150
    (cond_249 && cond_38)? (`TRUE) :
1151
    (cond_249 && ~cond_38 && cond_39 && cond_5)? (`TRUE) :
1152
    (cond_250 && cond_1 && cond_8)? (`TRUE) :
1153
    (cond_250 && cond_3 && cond_9)? (`TRUE) :
1154
    (cond_250 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1155
    (cond_251 && cond_104)? (`TRUE) :
1156
    (cond_251 && ~cond_104 && cond_5)? (`TRUE) :
1157
    (cond_254 && cond_16)? (`TRUE) :
1158
    (cond_255 && cond_16)? (`TRUE) :
1159
    (cond_256 && cond_9)? (`TRUE) :
1160
    (cond_256 && ~cond_9 && cond_5)? (`TRUE) :
1161
    (cond_257 && cond_68)? (`TRUE) :
1162
    (cond_258 && cond_1 && cond_8)? (`TRUE) :
1163
    (cond_258 && cond_3 && cond_9)? (`TRUE) :
1164
    (cond_258 && cond_3 && ~cond_9 && cond_5)? (`TRUE) :
1165
    1'd0;
1166
assign address_ea_buffer =
1167
    (cond_11 && cond_12)? (`TRUE) :
1168
    (cond_51)? (`TRUE) :
1169
    (cond_53 && cond_50)? (`TRUE) :
1170
    (cond_121 && cond_123)? (`TRUE) :
1171
    (cond_206 && cond_207)? (`TRUE) :
1172
    (cond_225)? (`TRUE) :
1173
    (cond_246 && cond_248)? (`TRUE) :
1174
    1'd0;
1175
assign address_stack_for_iret_to_v86 =
1176
    (cond_95 && cond_96)? (`TRUE) :
1177
    1'd0;
1178
assign address_stack_for_iret_second =
1179
    (cond_97)? (`TRUE) :
1180
    1'd0;
1181
assign address_stack_for_iret_first =
1182
    (cond_91 && cond_92)? (`TRUE) :
1183
    1'd0;
1184
assign rd_req_ecx =
1185
    (cond_153)? (`TRUE) :
1186
    (cond_172)? (`TRUE) :
1187
    1'd0;
1188
assign read_rmw_system_dword =
1189
    (cond_227 && ~cond_16 && cond_228 && ~cond_9)? (`TRUE) :
1190
    (cond_239 && ~cond_16 && cond_240)? (`TRUE) :
1191
    1'd0;
1192
assign rd_src_is_imm_se =
1193
    (cond_201)? (`TRUE) :
1194
    1'd0;
1195
assign rd_glob_param_2_value =
1196
    (cond_43)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
1197
    (cond_48 && ~cond_49 && cond_50)? ( read_4) :
1198
    (cond_53 && ~cond_50)? ( read_4) :
1199
    (cond_64)? ( { 16'd0, read_4[15:0] }) :
1200
    (cond_79 && cond_81)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
1201
    (cond_89 && ~cond_16 && cond_90)? ( 32'd0) :
1202
    (cond_89 && ~cond_16 && ~cond_90)? ( { 30'd0, rd_descriptor_not_in_limits, glob_param_1[15:2] == 14'd0 }) :
1203
    (cond_91 && cond_94)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
1204
    (cond_98 && cond_101)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
1205
    (cond_158 && ~cond_9 && cond_159)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
1206
    (cond_160 && ~cond_9 && cond_72)? ( (rd_operand_16bit)? { 16'd0, read_4[15:0] } : read_4) :
1207
    (cond_179 && cond_180 && ~cond_9)? ( 32'd0) :
1208
    (cond_179 && ~cond_180)? ( { 30'd0, rd_descriptor_not_in_limits, glob_param_1[15:2] == 14'd0 }) :
1209
    (cond_203 && ~cond_16)? ( (glob_descriptor[`DESC_BITS_TYPE] == `DESC_CALL_GATE_386)? { glob_descriptor[63:48], glob_descriptor[15:0] } : { 16'd0, glob_descriptor[15:0] }) :
1210
    (cond_227 && ~cond_16 && cond_228)? ( read_4) :
1211
    (cond_241 && ~cond_16 && cond_242)? ( 32'd0) :
1212
    (cond_241 && ~cond_16 && ~cond_242)? ( { 29'd0, glob_param_1[`SELECTOR_BIT_TI], rd_descriptor_not_in_limits, glob_param_1[15:2] == 14'd0 }) :
1213
    (cond_243 && ~cond_16 && cond_70)? ( 32'd0) :
1214
    (cond_243 && ~cond_16 && ~cond_70 && cond_244)? ( 32'd0) :
1215
    (cond_243 && ~cond_16 && ~cond_70 && ~cond_244)? ( { 30'd0, rd_descriptor_not_in_limits, glob_param_1[15:2] == 14'd0 }) :
1216
    32'd0;
1217
assign address_stack_pop_esp_prev =
1218
    (cond_157)? (`TRUE) :
1219
    1'd0;
1220
assign read_length_word =
1221
    (cond_11 && cond_12)? (`TRUE) :
1222
    (cond_22 && cond_23)? (`TRUE) :
1223
    (cond_44 && cond_3)? (`TRUE) :
1224
    (cond_51 && cond_52)? (`TRUE) :
1225
    (cond_55 && cond_56 && cond_3)? (`TRUE) :
1226
    (cond_75)? (`TRUE) :
1227
    (cond_97)? (`TRUE) :
1228
    (cond_117 && cond_118 && cond_3 && ~cond_9)? (`TRUE) :
1229
    (cond_121 && cond_122)? (`TRUE) :
1230
    (cond_157)? (`TRUE) :
1231
    (cond_178 && cond_3)? (`TRUE) :
1232
    (cond_179 && cond_180)? (`TRUE) :
1233
    (cond_206 && cond_207)? (`TRUE) :
1234
    (cond_246 && cond_247)? (`TRUE) :
1235
    (cond_250 && cond_3)? (`TRUE) :
1236
    1'd0;
1237
assign address_xlat_transform =
1238
    (cond_256)? (`TRUE) :
1239
    1'd0;
1240
assign address_enter =
1241
    (cond_132)? (`TRUE) :
1242
    1'd0;
1243
assign rd_src_is_rm =
1244
    (cond_7 && cond_1)? (`TRUE) :
1245
    (cond_30 && cond_1)? (`TRUE) :
1246
    (cond_107 && cond_1)? (`TRUE) :
1247
    (cond_117 && cond_118 && cond_1)? (`TRUE) :
1248
    (cond_119)? (`TRUE) :
1249
    (cond_133 && cond_1)? (`TRUE) :
1250
    (cond_135 && cond_1)? (`TRUE) :
1251
    (cond_143 && cond_1)? (   rd_arith_modregrm_to_reg) :
1252
    (cond_150 && cond_1)? (`TRUE) :
1253
    (cond_169 && cond_1)? (`TRUE) :
1254
    (cond_205 && cond_1)? (`TRUE) :
1255
    (cond_216 && cond_1)? (`TRUE) :
1256
    (cond_250 && cond_1)? (`TRUE) :
1257
    (cond_255)? (`TRUE) :
1258
    (cond_258 && cond_1)? (`TRUE) :
1259
    1'd0;
1260
assign rd_system_linear =
1261
    (cond_33)? ( tr_base + 32'd102) :
1262
    (cond_35)? ( tr_base + { 16'd0, rd_memory_last[15:0] } + { 16'd0, 3'd0, glob_param_1[15:3] }) :
1263
    (cond_64)? ( idtr_base + { 22'd0, exc_vector[7:0], 2'b00 }) :
1264
    (cond_65)? ( idtr_base + { 22'd0, exc_vector[7:0], 2'b10 }) :
1265
    (cond_66)? ( idtr_base + { 21'd0, exc_vector[7:0], 3'b000 }) :
1266
    (cond_88)? ( tr_base) :
1267
    (cond_187)? ( tr_base + rd_offset_for_ss_from_tss) :
1268
    (cond_189)? ( tr_base + rd_offset_for_esp_from_tss) :
1269
    (cond_227)? ( gdtr_base + { 16'd0, tr[15:3], 3'd0 } + 32'd4) :
1270
    (cond_232 && cond_233)? ( glob_desc_base + 32'd12) :
1271
    (cond_232 && cond_234)? ( glob_desc_base + 32'h1C) :
1272
    (cond_232 && cond_235)? ( rd_task_switch_linear_next) :
1273
    (cond_237)? ( rd_task_switch_linear_next) :
1274
    (cond_239)? ( gdtr_base + { 16'd0, glob_param_1[15:3], 3'd0 } + 32'd4) :
1275
    32'd0;
1276
assign rd_glob_param_1_value =
1277
    (cond_18 && ~cond_16)? ( { 16'd0, glob_descriptor[31:16] }) :
1278
    (cond_51 && cond_52)? ( { 13'd0, rd_decoder[4] & rd_decoder[2], (rd_decoder[6] & rd_decoder[0]) | rd_decoder[1], rd_decoder[0], read_4[15:0] }) :
1279
    (cond_53 && cond_50)? ( { 13'd0, rd_decoder[4] & rd_decoder[2], (rd_decoder[6] & rd_decoder[0]) | rd_decoder[1], rd_decoder[0], read_4[15:0] }) :
1280
    (cond_55 && cond_56 && cond_1 && cond_57)? ( { 13'd0, rd_decoder[13:11], dst_wire[15:0] }) :
1281
    (cond_55 && cond_56 && cond_1 && cond_58)? ( { 13'd0, `SEGMENT_LDT, dst_wire[15:0] }) :
1282
    (cond_55 && cond_56 && cond_1 && cond_59)? ( { 13'd0, `SEGMENT_TR, dst_wire[15:0] }) :
1283
    (cond_55 && cond_56 && cond_3 && ~cond_9 && cond_57)? ( { 13'd0, rd_decoder[13:11], read_4[15:0] }) :
1284
    (cond_55 && cond_56 && cond_3 && ~cond_9 && cond_58)? ( { 13'd0, `SEGMENT_LDT, read_4[15:0] }) :
1285
    (cond_55 && cond_56 && cond_3 && ~cond_9 && cond_59)? ( { 13'd0, `SEGMENT_TR, read_4[15:0] }) :
1286
    (cond_61 && ~cond_16)? ( { 16'd0, glob_descriptor[31:16] }) :
1287
    (cond_65)? ( { 13'd0, `SEGMENT_CS, read_4[15:0] }) :
1288
    (cond_75)? ( { 13'd0, rd_decoder[5:3], read_4[15:0] }) :
1289
    (cond_79 && cond_82)? ( { 13'd0, `SEGMENT_CS, read_4[15:0] }) :
1290
    (cond_88)? ( { 14'd0, `TASK_SWITCH_FROM_IRET, read_4[15:0] }) :
1291
    (cond_91 && cond_93)? ( { `MC_PARAM_1_FLAG_NO_WRITE, `SEGMENT_CS, read_4[15:0] }) :
1292
    (cond_97)? ( { `MC_PARAM_1_FLAG_NP_NOT_SS | `MC_PARAM_1_FLAG_CPL_FROM_PARAM_3, `SEGMENT_SS, read_4[15:0] }) :
1293
    (cond_157)? ( { `MC_PARAM_1_FLAG_CPL_FROM_PARAM_3, `SEGMENT_SS, read_4[15:0] }) :
1294
    (cond_158 && ~cond_9 && cond_72)? ( { `MC_PARAM_1_FLAG_NO_WRITE, `SEGMENT_CS, read_4[15:0] }) :
1295
    (cond_160 && ~cond_9 && cond_159)? ( { `MC_PARAM_1_FLAG_NO_WRITE, `SEGMENT_CS, read_4[15:0] }) :
1296
    (cond_178 && cond_1 && ~cond_8)? ( { 16'd0, dst_wire[15:0] }) :
1297
    (cond_178 && cond_3 && ~cond_9)? ( { 16'd0, read_4[15:0] }) :
1298
    (cond_203 && ~cond_16)? ( { 13'd0, `SEGMENT_CS, glob_descriptor[31:16] }) :
1299
    (cond_210 && ~cond_16)? ( { 16'd0, glob_descriptor[31:16] }) :
1300
    32'd0;
1301
assign rd_glob_descriptor_2_value =
1302
    (cond_97)? ( glob_descriptor) :
1303
    (cond_157)? ( glob_descriptor) :
1304
    64'd0;
1305
assign rd_dst_is_modregrm_imm_se =
1306
    (cond_133 && cond_134)? (`TRUE) :
1307
    1'd0;
1308
assign rd_dst_is_reg =
1309
    (cond_6)? (`TRUE) :
1310
    (cond_54)? (`TRUE) :
1311
    (cond_133)? (`TRUE) :
1312
    (cond_135)? (          rd_decoder[3]) :
1313
    (cond_143)? (  rd_arith_modregrm_to_reg) :
1314
    (cond_166)? (`TRUE) :
1315
    (cond_181 && ~cond_183 && cond_184)? (`TRUE) :
1316
    (cond_185 && ~cond_183 && cond_186)? (`TRUE) :
1317
    (cond_216)? (`TRUE) :
1318
    (cond_225)? (`TRUE) :
1319
    (cond_245)? (`TRUE) :
1320
    (cond_250)? (`TRUE) :
1321
    (cond_258)? (`TRUE) :
1322
    1'd0;
1323
assign rd_src_is_implicit_reg =
1324
    (cond_168)? (`TRUE) :
1325
    1'd0;
1326
assign address_enter_init =
1327
    (cond_128)? (`TRUE) :
1328
    1'd0;
1329
assign rd_dst_is_memory =
1330
    (cond_0 && cond_3)? (`TRUE) :
1331
    (cond_28 && cond_3)? (`TRUE) :
1332
    (cond_30 && cond_3 && ~cond_9)? (`TRUE) :
1333
    (cond_40 && cond_3)? (`TRUE) :
1334
    (cond_44 && cond_3)? (`TRUE) :
1335
    (cond_76 && cond_3)? (`TRUE) :
1336
    (cond_106 && cond_3)? (`TRUE) :
1337
    (cond_110 && cond_3)? (`TRUE) :
1338
    (cond_111 && cond_3)? (`TRUE) :
1339
    (cond_116 && cond_3)? (`TRUE) :
1340
    (cond_126 && cond_3)? (`TRUE) :
1341
    (cond_127 && cond_3 && ~cond_4)? (`TRUE) :
1342
    (cond_139 && cond_3)? (`TRUE) :
1343
    (cond_140 && cond_3)? (`TRUE) :
1344
    (cond_143 && cond_3)? (   rd_arith_modregrm_to_rm) :
1345
    (cond_147 && cond_3)? (`TRUE) :
1346
    (cond_154 && cond_3)? (`TRUE) :
1347
    (cond_155 && cond_3)? (`TRUE) :
1348
    (cond_165 && cond_3)? (`TRUE) :
1349
    (cond_177 && cond_3)? (`TRUE) :
1350
    (cond_213 && ~cond_214)? (`TRUE) :
1351
    (cond_217 && cond_3)? (`TRUE) :
1352
    (cond_219 && cond_3)? (`TRUE) :
1353
    1'd0;
1354
assign rd_glob_descriptor_2_set =
1355
    (cond_97)? (`TRUE) :
1356
    (cond_157)? (`TRUE) :
1357
    1'd0;
1358
assign rd_error_code =
1359
    (cond_15 && ~cond_16 && cond_17)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
1360
    (cond_19 && cond_20)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
1361
    (cond_21 && ~cond_16 && cond_17)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
1362
    (cond_62 && cond_20)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
1363
    (cond_63 && ~cond_16 && cond_17)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
1364
    (cond_73 && cond_74)? ( { glob_param_1[15:2], 2'd0 }) :
1365
    (cond_187)? ( `SELECTOR_FOR_CODE(tr)) :
1366
    (cond_204 && cond_17)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
1367
    (cond_209 && ~cond_16 && cond_17)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
1368
    (cond_211 && cond_20)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
1369
    16'd0;
1370
assign rd_dst_is_edx_eax =
1371
    (cond_107)? (`TRUE) :
1372
    (cond_135)? (    ~(rd_decoder[3])) :
1373
    (cond_150)? (`TRUE) :
1374
    1'd0;
1375
assign rd_src_is_modregrm_imm =
1376
    (cond_76)? (  rd_cmdex == `CMDEX_BTx_modregrm_imm) :
1377
    (cond_110)? ( rd_cmdex == `CMDEX_Shift_modregrm_imm) :
1378
    (cond_147 && cond_1 && ~cond_134)? (`TRUE) :
1379
    (cond_147 && cond_3 && ~cond_134)? (`TRUE) :
1380
    (cond_155)? (`TRUE) :
1381
    (cond_219)? (`TRUE) :
1382
    1'd0;
1383
assign address_stack_for_call_param_first =
1384
    (cond_22 && cond_26)? (`TRUE) :
1385
    1'd0;
1386
assign rd_req_ebx =
1387
    (cond_172)? (`TRUE) :
1388
    1'd0;
1389
assign address_ea_buffer_plus_2 =
1390
    (cond_121)? (`TRUE) :
1391
    (cond_246)? (`TRUE) :
1392
    1'd0;
1393
assign rd_req_edx_eax =
1394
    (cond_107)? ( rd_decoder[0]) :
1395
    (cond_135)? (      ~(rd_decoder[3]) && rd_decoder[0]) :
1396
    (cond_150)? ( rd_decoder[0]) :
1397
    1'd0;
1398
assign address_stack_for_iret_third =
1399
    (cond_98 && cond_99)? (`TRUE) :
1400
    1'd0;
1401
assign rd_src_is_ecx =
1402
    (cond_111)? (`TRUE) :
1403
    1'd0;
1404
assign rd_req_ebp =
1405
    (cond_130)? (`TRUE) :
1406
    (cond_138 && ~cond_9)? (`TRUE) :
1407
    1'd0;
1408
assign rd_req_rm =
1409
    (cond_6)? (      rd_modregrm_mod == 2'b11) :
1410
    (cond_28 && cond_1)? (`TRUE) :
1411
    (cond_30 && cond_1)? (`TRUE) :
1412
    (cond_40 && cond_1 && ~cond_8)? (`TRUE) :
1413
    (cond_44 && cond_1)? (`TRUE) :
1414
    (cond_76 && cond_1)? ( rd_cmd[1:0] != 2'd0) :
1415
    (cond_106 && cond_1)? (`TRUE) :
1416
    (cond_110 && cond_1)? (`TRUE) :
1417
    (cond_111 && cond_1)? (`TRUE) :
1418
    (cond_116 && cond_1)? (`TRUE) :
1419
    (cond_120)? (`TRUE) :
1420
    (cond_126 && cond_1)? (`TRUE) :
1421
    (cond_127 && cond_1 && ~cond_2)? (`TRUE) :
1422
    (cond_139 && cond_1)? (`TRUE) :
1423
    (cond_140 && cond_1)? (`TRUE) :
1424
    (cond_143 && cond_1 && cond_144)? (  rd_arith_modregrm_to_rm) :
1425
    (cond_147 && cond_1 && cond_148)? (`TRUE) :
1426
    (cond_166 && cond_1)? (`TRUE) :
1427
    (cond_177 && cond_1)? (`TRUE) :
1428
    (cond_217 && cond_1)? (`TRUE) :
1429
    (cond_219 && cond_1)? (`TRUE) :
1430
    (cond_254)? (`TRUE) :
1431
    1'd0;
1432
assign rd_src_is_modregrm_imm_se =
1433
    (cond_147 && cond_1 && cond_134)? (`TRUE) :
1434
    (cond_147 && cond_3 && cond_134)? (`TRUE) :
1435
    1'd0;
1436
assign read_length_dword =
1437
    (cond_22 && cond_24)? (`TRUE) :
1438
    (cond_121 && cond_123)? (`TRUE) :
1439
    (cond_246 && cond_248)? (`TRUE) :
1440
    1'd0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.