OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [ao486/] [autogen/] [write_commands.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
wire [15:0] wr_IRET_to_v86_es;
2
wire [15:0] wr_IRET_to_v86_cs;
3
wire [15:0] wr_IRET_to_v86_ss;
4
wire [15:0] wr_IRET_to_v86_ds;
5
wire [15:0] wr_IRET_to_v86_fs;
6
wire [15:0] wr_IRET_to_v86_gs;
7
assign wr_IRET_to_v86_es = exe_buffer_shifted[79:64];
8
assign wr_IRET_to_v86_cs = glob_param_1[15:0];
9
assign wr_IRET_to_v86_ss = exe_buffer_shifted[111:96];
10
assign wr_IRET_to_v86_ds = exe_buffer_shifted[47:32];
11
assign wr_IRET_to_v86_fs = exe_buffer_shifted[15:0];
12
assign wr_IRET_to_v86_gs = exe_buffer[15:0];
13
 
14
wire [31:0] wr_ecx_minus_1;
15
assign wr_ecx_minus_1 = ecx - 32'd1;
16
 
17
wire [31:0] wr_task_switch_linear;
18
wire [31:0] wr_task_switch_linear_next;
19
reg  [31:0] wr_task_switch_linear_reg;
20
assign wr_task_switch_linear = (wr_cmd == `CMD_task_switch && wr_cmdex == `CMDEX_task_switch_STEP_9 && tr_cache[`DESC_BITS_TYPE] <= 4'd3)?     tr_base + 32'd14 : (wr_cmd == `CMD_task_switch && wr_cmdex == `CMDEX_task_switch_STEP_9 && tr_cache[`DESC_BITS_TYPE] > 4'd3)?      tr_base + 32'h20 : wr_task_switch_linear_next;
21
assign wr_task_switch_linear_next = (tr_cache[`DESC_BITS_TYPE] <= 4'd3)?    wr_task_switch_linear_reg + 32'd2 : wr_task_switch_linear_reg + 32'd4;
22
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0)                                                               wr_task_switch_linear_reg <= 32'd0; else if(wr_cmd == `CMD_task_switch && wr_cmdex == `CMDEX_task_switch_STEP_9)    wr_task_switch_linear_reg <= wr_task_switch_linear; else if(wr_ready)                                                               wr_task_switch_linear_reg <= wr_task_switch_linear_next;
23
end
24
 
25
//======================================================== conditions
26
wire cond_0 = wr_cmd == `CMD_XADD && wr_cmdex == `CMDEX_XADD_FIRST;
27
wire cond_1 = wr_dst_is_memory && ~(write_for_wr_ready);
28
wire cond_2 = wr_cmd == `CMD_XADD && wr_cmdex == `CMDEX_XADD_LAST;
29
wire cond_3 = wr_modregrm_mod != 2'b11 || wr_modregrm_reg != wr_modregrm_rm;
30
wire cond_4 = wr_cmd == `CMD_JCXZ;
31
wire cond_5 = result_signals[0];
32
wire cond_6 = wr_cmd == `CMD_CALL && (wr_cmdex == `CMDEX_CALL_Ep_STEP_0 || wr_cmdex == `CMDEX_CALL_Ap_STEP_0);
33
wire cond_7 = wr_cmd == `CMD_CALL && (wr_cmdex == `CMDEX_CALL_Ep_STEP_1 || wr_cmdex == `CMDEX_CALL_Ap_STEP_1);
34
wire cond_8 = wr_cmd == `CMD_CALL && (wr_cmdex == `CMDEX_CALL_real_v8086_STEP_0 || wr_cmdex == `CMDEX_CALL_real_v8086_STEP_1);
35
wire cond_9 = ~(write_for_wr_ready);
36
wire cond_10 = ~(wr_push_ss_fault);
37
wire cond_11 = wr_cmd == `CMD_CALL && (wr_cmdex == `CMDEX_CALL_Ev_STEP_0 || wr_cmdex == `CMDEX_CALL_Jv_STEP_0);
38
wire cond_12 = wr_cmd == `CMD_CALL && (wr_cmdex == `CMDEX_CALL_Ev_Jv_STEP_1 || wr_cmdex == `CMDEX_CALL_real_v8086_STEP_3);
39
wire cond_13 = wr_cmd == `CMD_CALL && wr_cmdex == `CMDEX_CALL_real_v8086_STEP_2;
40
wire cond_14 = wr_cmd == `CMD_CALL && (wr_cmdex == `CMDEX_CALL_protected_seg_STEP_0 || wr_cmdex == `CMDEX_CALL_protected_seg_STEP_1);
41
wire cond_15 = ~(wr_new_push_ss_fault);
42
wire cond_16 = wr_cmd == `CMD_CALL && wr_cmdex == `CMDEX_CALL_protected_seg_STEP_2;
43
wire cond_17 = wr_cmd == `CMD_CALL_2 && wr_cmdex == `CMDEX_CALL_2_task_switch_STEP_0;
44
wire cond_18 = wr_cmd == `CMD_CALL_2 && (wr_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_2 || wr_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_3);
45
wire cond_19 = wr_cmd == `CMD_CALL_3 && ( wr_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_4 || wr_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_5 || wr_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_6 || wr_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_7);
46
wire cond_20 = wr_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_7;
47
wire cond_21 = wr_cmd == `CMD_PUSH_MOV_SEG && { wr_cmdex[3], 3'b0 } == `CMDEX_PUSH_MOV_SEG_implicit;
48
wire cond_22 = write_for_wr_ready && ~(wr_push_ss_fault);
49
wire cond_23 = wr_cmd == `CMD_PUSH_MOV_SEG && { wr_cmdex[3], 3'b0 } == `CMDEX_PUSH_MOV_SEG_modregrm;
50
wire cond_24 = wr_cmd == `CMD_NEG;
51
wire cond_25 = wr_cmd == `CMD_Jcc;
52
wire cond_26 = wr_cmd == `CMD_INVD && wr_cmdex == `CMDEX_INVD_STEP_0;
53
wire cond_27 = wr_cmd == `CMD_INVD && wr_cmdex == `CMDEX_INVD_STEP_1;
54
wire cond_28 = wr_cmd == `CMD_INVLPG && wr_cmdex == `CMDEX_INVLPG_STEP_0;
55
wire cond_29 = wr_cmd == `CMD_INVLPG && wr_cmdex == `CMDEX_INVLPG_STEP_1;
56
wire cond_30 = wr_cmd == `CMD_io_allow && (wr_cmdex == `CMDEX_io_allow_1 || wr_cmdex == `CMDEX_io_allow_2);
57
wire cond_31 = wr_cmd == `CMD_HLT && wr_cmdex == `CMDEX_HLT_STEP_0;
58
wire cond_32 = wr_cmd == `CMD_SCAS;
59
wire cond_33 = ~(wr_string_ignore);
60
wire cond_34 = wr_prefix_group_1_rep != 2'd0;
61
wire cond_35 = wr_string_ignore || wr_string_zf_finish;
62
wire cond_36 = ~(wr_string_ignore) && ~(wr_string_zf_finish) && wr_prefix_group_1_rep != 2'd0;
63
wire cond_37 = wr_cmd == `CMD_INC_DEC;
64
wire cond_38 = wr_cmd == `CMD_RET_near && wr_cmdex != `CMDEX_RET_near_LAST;
65
wire cond_39 = wr_cmd == `CMD_ARPL;
66
wire cond_40 = wr_cmd == `CMD_BSWAP;
67
wire cond_41 = wr_cmd == `CMD_LxS && wr_cmdex != `CMDEX_LxS_STEP_LAST;
68
wire cond_42 = wr_cmd == `CMD_LxS && wr_cmdex == `CMDEX_LxS_STEP_LAST;
69
wire cond_43 = (wr_cmd == `CMD_MOV_to_seg || wr_cmd == `CMD_LLDT || wr_cmd == `CMD_LTR) && wr_cmdex == `CMDEX_MOV_to_seg_LLDT_LTR_STEP_1;
70
wire cond_44 = (wr_cmd == `CMD_MOV_to_seg || wr_cmd == `CMD_LLDT || wr_cmd == `CMD_LTR) && wr_cmdex == `CMDEX_MOV_to_seg_LLDT_LTR_STEP_LAST;
71
wire cond_45 = wr_cmd == `CMD_MOV_to_seg && wr_decoder[13:11] == `SEGMENT_SS;
72
wire cond_46 = wr_cmd == `CMD_CLC;
73
wire cond_47 = wr_cmd == `CMD_CMC;
74
wire cond_48 = wr_cmd == `CMD_CLD;
75
wire cond_49 = wr_cmd == `CMD_STC;
76
wire cond_50 = wr_cmd == `CMD_STD;
77
wire cond_51 = wr_cmd == `CMD_SAHF;
78
wire cond_52 = wr_cmd == `CMD_int_2  && wr_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_2;
79
wire cond_53 = wr_cmd == `CMD_int_2  && wr_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_3;
80
wire cond_54 = wr_cmd == `CMD_int_2 && ( wr_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_4 || wr_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_5 || wr_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_6 || wr_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_7 || wr_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_8 || wr_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_9);
81
wire cond_55 = wr_cmd == `CMD_int_3 && ( wr_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_0 || wr_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_1 || wr_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_2 || wr_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_3);
82
wire cond_56 = (wr_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_2 && ~(exc_push_error)) || wr_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_3;
83
wire cond_57 = wr_cmd == `CMD_int && wr_cmdex == `CMDEX_int_STEP_0;
84
wire cond_58 = wr_cmd == `CMD_int && wr_cmdex == `CMDEX_int_STEP_1;
85
wire cond_59 = wr_cmd == `CMD_int && (wr_cmdex == `CMDEX_int_real_STEP_3 || wr_cmdex == `CMDEX_int_real_STEP_4);
86
wire cond_60 = wr_cmd == `CMD_int && wr_cmdex == `CMDEX_int_real_STEP_5;
87
wire cond_61 = wr_cmd == `CMD_int && (wr_cmdex == `CMDEX_int_protected_STEP_0 || wr_cmdex == `CMDEX_int_protected_STEP_1 || wr_cmdex == `CMDEX_int_protected_STEP_2);
88
wire cond_62 = wr_cmd == `CMD_int_2 && wr_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_5;
89
wire cond_63 = wr_cmd == `CMD_int_3 && wr_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_6;
90
wire cond_64 = v8086_mode;
91
wire cond_65 = wr_cmd == `CMD_int && (wr_cmdex == `CMDEX_int_real_STEP_0 || wr_cmdex == `CMDEX_int_real_STEP_1 || wr_cmdex == `CMDEX_int_real_STEP_2);
92
wire cond_66 = wr_cmd == `CMD_AAM || wr_cmd == `CMD_AAD;
93
wire cond_67 = wr_cmd == `CMD_load_seg && wr_cmdex == `CMDEX_load_seg_STEP_1;
94
wire cond_68 = real_mode;
95
wire cond_69 = protected_mode && glob_param_1[15:2] == 14'd0;
96
wire cond_70 = wr_cmd == `CMD_load_seg && wr_cmdex == `CMDEX_load_seg_STEP_2;
97
wire cond_71 = ~(protected_mode && (glob_param_1[15:2] == 14'd0 || glob_param_1[`MC_PARAM_1_FLAG_NO_WRITE_BIT]));
98
wire cond_72 = glob_param_1[18:16] == `SEGMENT_TR || (glob_param_1[18:16] < `SEGMENT_LDT && `DESC_IS_NOT_ACCESSED(glob_descriptor));
99
wire cond_73 = glob_param_1[18:16] == `SEGMENT_TR;
100
wire cond_74 = glob_param_1[18:16] == `SEGMENT_LDT || (glob_param_1[18:16] < `SEGMENT_LDT && `DESC_IS_ACCESSED(glob_descriptor));
101
wire cond_75 = wr_cmd == `CMD_POP_seg && wr_cmdex == `CMDEX_POP_seg_STEP_1;
102
wire cond_76 = wr_cmd == `CMD_POP_seg && wr_cmdex == `CMDEX_POP_seg_STEP_LAST;
103
wire cond_77 = wr_decoder[5:3] == `SEGMENT_SS;
104
wire cond_78 = { wr_cmd[6:2], 2'd0 } == `CMD_BTx;
105
wire cond_79 = wr_cmd[1:0] != 2'd0;
106
wire cond_80 = wr_cmd == `CMD_IRET && wr_cmdex <= `CMDEX_IRET_real_v86_STEP_2;
107
wire cond_81 = wr_cmdex == `CMDEX_IRET_real_v86_STEP_0;
108
wire cond_82 = wr_cmd == `CMD_IRET && wr_cmdex == `CMDEX_IRET_real_v86_STEP_3;
109
wire cond_83 = wr_operand_32bit;
110
wire cond_84 = wr_cmd == `CMD_IRET && (wr_cmdex == `CMDEX_IRET_protected_STEP_0 || wr_cmdex == `CMDEX_IRET_task_switch_STEP_0 || wr_cmdex == `CMDEX_IRET_task_switch_STEP_1);
111
wire cond_85 = wr_cmd == `CMD_IRET && wr_cmdex >= `CMDEX_IRET_protected_STEP_1 && wr_cmdex <= `CMDEX_IRET_protected_STEP_3;
112
wire cond_86 = wr_cmd == `CMD_IRET && wr_cmdex >= `CMDEX_IRET_protected_to_v86_STEP_0 && wr_cmdex <= `CMDEX_IRET_protected_to_v86_STEP_4;
113
wire cond_87 = wr_cmd == `CMD_IRET && wr_cmdex == `CMDEX_IRET_protected_to_v86_STEP_5;
114
wire cond_88 = wr_cmd == `CMD_IRET_2 && wr_cmdex == `CMDEX_IRET_2_protected_to_v86_STEP_6;
115
wire cond_89 = wr_cmd == `CMD_IRET_2 && wr_cmdex == `CMDEX_IRET_2_protected_same_STEP_1;
116
wire cond_90 = cpl <= iopl;
117
wire cond_91 = cpl == 2'd0;
118
wire cond_92 = wr_cmd == `CMD_IRET_2 && wr_cmdex >= `CMDEX_IRET_2_protected_outer_STEP_0 && wr_cmdex <= `CMDEX_IRET_2_protected_outer_STEP_2;
119
wire cond_93 = wr_cmd == `CMD_IRET_2 && wr_cmdex == `CMDEX_IRET_2_protected_outer_STEP_4;
120
wire cond_94 = wr_task_rpl <= iopl;
121
wire cond_95 = wr_task_rpl == 2'd0;
122
wire cond_96 = wr_cmd == `CMD_POP && wr_cmdex == `CMDEX_POP_implicit;
123
wire cond_97 = wr_cmd == `CMD_POP && wr_cmdex == `CMDEX_POP_modregrm_STEP_0;
124
wire cond_98 = wr_cmd == `CMD_POP && wr_cmdex == `CMDEX_POP_modregrm_STEP_1;
125
wire cond_99 = ~(wr_dst_is_memory) || write_for_wr_ready;
126
wire cond_100 = wr_cmd == `CMD_IDIV || wr_cmd == `CMD_DIV;
127
wire cond_101 = ~(wr_is_8bit);
128
wire cond_102 = wr_cmd == `CMD_Shift;
129
wire cond_103 = ~(result_signals[4]);
130
wire cond_104 = result_signals[3];
131
wire cond_105 = result_signals[2];
132
wire cond_106 = wr_cmd == `CMD_CMPS && wr_cmdex == `CMDEX_CMPS_FIRST;
133
wire cond_107 = wr_cmd == `CMD_CMPS && wr_cmdex == `CMDEX_CMPS_LAST;
134
wire cond_108 = wr_string_ignore || wr_string_zf_finish || wr_prefix_group_1_rep == 2'd0;
135
wire cond_109 = wr_cmd == `CMD_control_reg && wr_cmdex == `CMDEX_control_reg_SMSW_STEP_0;
136
wire cond_110 = wr_cmd == `CMD_control_reg && wr_cmdex == `CMDEX_control_reg_LMSW_STEP_0;
137
wire cond_111 = cr0_pe ^ result2[0];
138
wire cond_112 = wr_cmd == `CMD_control_reg && wr_cmdex == `CMDEX_control_reg_MOV_store_STEP_0;
139
wire cond_113 = wr_cmd == `CMD_control_reg && wr_cmdex == `CMDEX_control_reg_MOV_load_STEP_0;
140
wire cond_114 = wr_decoder[13:11] == 3'd0;
141
wire cond_115 = (cr0_pe ^ result2[0]) || (cr0_wp ^ result2[16]) || (cr0_pg ^ result[31]);
142
wire cond_116 = cr0_pe && result2[0] == 1'b0;
143
wire cond_117 = wr_decoder[13:11] == 3'd2;
144
wire cond_118 = wr_decoder[13:11] == 3'd3;
145
wire cond_119 = (wr_cmd == `CMD_LGDT || wr_cmd == `CMD_LIDT);
146
wire cond_120 = wr_cmdex == `CMDEX_LGDT_LIDT_STEP_1;
147
wire cond_121 = wr_cmd == `CMD_LGDT;
148
wire cond_122 = wr_cmd == `CMD_LIDT;
149
wire cond_123 = wr_cmdex == `CMDEX_LGDT_LIDT_STEP_2;
150
wire cond_124 = wr_cmd == `CMD_PUSHA;
151
wire cond_125 = wr_cmdex[2:0] == 3'd0;
152
wire cond_126 = wr_cmdex[2:0] < 3'd7;
153
wire cond_127 = wr_cmdex[2:0] == 3'd7 && write_for_wr_ready && ~(wr_push_ss_fault);
154
wire cond_128 = wr_cmd == `CMD_SETcc;
155
wire cond_129 = wr_cmd == `CMD_CMPXCHG;
156
wire cond_130 = wr_cmd == `CMD_ENTER && wr_cmdex == `CMDEX_ENTER_FIRST;
157
wire cond_131 = wr_cmd == `CMD_ENTER && wr_cmdex == `CMDEX_ENTER_LAST;
158
wire cond_132 = wr_cmd == `CMD_ENTER && (wr_cmdex == `CMDEX_ENTER_PUSH || wr_cmdex == `CMDEX_ENTER_LOOP);
159
wire cond_133 = wr_cmd == `CMD_IMUL;
160
wire cond_134 = wr_dst_is_edx_eax;
161
wire cond_135 = wr_cmd == `CMD_LEAVE;
162
wire cond_136 = { wr_cmd[6:1], 1'd0 } == `CMD_SHxD;
163
wire cond_137 = wr_cmd == `CMD_WBINVD && wr_cmdex == `CMDEX_WBINVD_STEP_0;
164
wire cond_138 = wr_cmd == `CMD_WBINVD && wr_cmdex == `CMDEX_WBINVD_STEP_1;
165
wire cond_139 = { wr_cmd[6:3], 3'd0 } == `CMD_Arith;
166
wire cond_140 = wr_cmd[2:0] != 3'b111 && wr_dst_is_memory && ~(write_for_wr_ready);
167
wire cond_141 = wr_cmd[2:0] != 3'b111;
168
wire cond_142 = wr_cmd == `CMD_MUL;
169
wire cond_143 = wr_cmd == `CMD_LOOP;
170
wire cond_144 = wr_address_16bit;
171
wire cond_145 = wr_cmd == `CMD_TEST;
172
wire cond_146 = wr_cmd == `CMD_CLTS;
173
wire cond_147 = wr_cmd == `CMD_RET_far && wr_cmdex == `CMDEX_RET_far_STEP_1;
174
wire cond_148 = wr_cmd == `CMD_RET_far && wr_cmdex == `CMDEX_RET_far_STEP_2;
175
wire cond_149 = wr_cmd == `CMD_RET_far && wr_cmdex == `CMDEX_RET_far_real_STEP_3;
176
wire cond_150 = wr_cmd == `CMD_RET_far && wr_cmdex == `CMDEX_RET_far_outer_STEP_3;
177
wire cond_151 = wr_cmd == `CMD_RET_far && wr_cmdex == `CMDEX_RET_far_outer_STEP_4;
178
wire cond_152 = wr_cmd == `CMD_LODS;
179
wire cond_153 = wr_string_ignore || wr_string_finish;
180
wire cond_154 = ~(wr_string_ignore) && ~(wr_string_finish) && wr_prefix_group_1_rep != 2'd0;
181
wire cond_155 = wr_cmd == `CMD_XCHG && wr_cmdex == `CMDEX_XCHG_implicit;
182
wire cond_156 = wr_cmd == `CMD_XCHG && wr_cmdex == `CMDEX_XCHG_modregrm;
183
wire cond_157 = wr_cmd == `CMD_XCHG && wr_cmdex == `CMDEX_XCHG_modregrm_LAST;
184
wire cond_158 = wr_cmd == `CMD_PUSH;
185
wire cond_159 = wr_cmd == `CMD_INT_INTO && wr_cmdex == `CMDEX_INT_INTO_INT_STEP_0;
186
wire cond_160 = wr_cmd == `CMD_INT_INTO && wr_cmdex == `CMDEX_INT_INTO_INT3_STEP_0;
187
wire cond_161 = wr_cmd == `CMD_INT_INTO && wr_cmdex == `CMDEX_INT_INTO_INT1_STEP_0;
188
wire cond_162 = wr_cmd == `CMD_INT_INTO && wr_cmdex == `CMDEX_INT_INTO_INTO_STEP_0;
189
wire cond_163 = oflag;
190
wire cond_164 = wr_cmd == `CMD_CPUID;
191
wire cond_165 = eax == 32'd0;
192
wire cond_166 = eax != 32'd0;
193
wire cond_167 = wr_cmd == `CMD_IN;
194
wire cond_168 = ~(io_allow_check_needed) || wr_cmdex == `CMDEX_IN_protected;
195
wire cond_169 = wr_cmd == `CMD_NOT;
196
wire cond_170 = (wr_cmd == `CMD_LAR || wr_cmd == `CMD_LSL || wr_cmd == `CMD_VERR || wr_cmd == `CMD_VERW) && (wr_cmdex == `CMDEX_LAR_LSL_VERR_VERW_STEP_1 || wr_cmdex == `CMDEX_LAR_LSL_VERR_VERW_STEP_2);
197
wire cond_171 = (wr_cmd == `CMD_LAR || wr_cmd == `CMD_LSL) && wr_cmdex == `CMDEX_LAR_LSL_VERR_VERW_STEP_LAST;
198
wire cond_172 = wr_dst_is_reg;
199
wire cond_173 = (wr_cmd == `CMD_VERR || wr_cmd == `CMD_VERW) && wr_cmdex == `CMDEX_LAR_LSL_VERR_VERW_STEP_LAST;
200
wire cond_174 = (wr_cmd == `CMD_RET_far && wr_cmdex == `CMDEX_RET_far_same_STEP_3) || (wr_cmd == `CMD_IRET_2  && wr_cmdex == `CMDEX_IRET_2_protected_same_STEP_0) || (wr_cmd == `CMD_CALL_2  && wr_cmdex == `CMDEX_CALL_2_protected_seg_STEP_3) || (wr_cmd == `CMD_CALL_2  && wr_cmdex == `CMDEX_CALL_2_call_gate_same_STEP_2) || (wr_cmd == `CMD_JMP     && wr_cmdex == `CMDEX_JMP_protected_seg_STEP_0) || (wr_cmd == `CMD_JMP_2   && wr_cmdex == `CMDEX_JMP_2_call_gate_STEP_2) || (wr_cmd == `CMD_int_2   && wr_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_4);
201
wire cond_175 = `DESC_IS_NOT_ACCESSED(glob_descriptor);
202
wire cond_176 = wr_cmd != `CMD_JMP && wr_cmd != `CMD_JMP_2 && wr_cmd != `CMD_int_2;
203
wire cond_177 = (wr_cmd == `CMD_CALL_3 && wr_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_9) || (wr_cmd == `CMD_int_3  && wr_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_4);
204
wire cond_178 = (wr_cmd == `CMD_RET_far && wr_cmdex == `CMDEX_RET_far_same_STEP_4) || (wr_cmd == `CMD_CALL_2  && wr_cmdex == `CMDEX_CALL_2_protected_seg_STEP_4) || (wr_cmd == `CMD_CALL_2  && wr_cmdex == `CMDEX_CALL_2_call_gate_same_STEP_3) || (wr_cmd == `CMD_CALL_3  && wr_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_10) || (wr_cmd == `CMD_JMP     && wr_cmdex == `CMDEX_JMP_protected_seg_STEP_1) || (wr_cmd == `CMD_JMP_2   && wr_cmdex == `CMDEX_JMP_2_call_gate_STEP_3);
205
wire cond_179 = (wr_cmd == `CMD_RET_far && wr_cmdex == `CMDEX_RET_far_outer_STEP_5) || (wr_cmd == `CMD_IRET_2  && wr_cmdex == `CMDEX_IRET_2_protected_outer_STEP_3);
206
wire cond_180 = (wr_cmd == `CMD_RET_far && wr_cmdex == `CMDEX_RET_far_outer_STEP_6) || (wr_cmd == `CMD_IRET_2  && wr_cmdex == `CMDEX_IRET_2_protected_outer_STEP_5) || (wr_cmd == `CMD_CALL_3  && wr_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_8) || (wr_cmd == `CMD_int_3   && wr_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_5);
207
wire cond_181 = `DESC_IS_NOT_ACCESSED(glob_descriptor) && glob_param_1[15:2] != 14'd0;
208
wire cond_182 = (wr_cmd == `CMD_RET_far && wr_cmdex == `CMDEX_RET_far_outer_STEP_7) ||  + (wr_cmd == `CMD_IRET_2 && wr_cmdex == `CMDEX_IRET_2_protected_outer_STEP_6);
209
wire cond_183 = (wr_cmd == `CMD_CALL && (wr_cmdex == `CMDEX_CALL_protected_STEP_0 || wr_cmdex == `CMDEX_CALL_protected_STEP_1)) || (wr_cmd == `CMD_JMP  && (wr_cmdex == `CMDEX_JMP_protected_STEP_0  || wr_cmdex == `CMDEX_JMP_protected_STEP_1));
210
wire cond_184 = (wr_cmd == `CMD_CALL_2 && wr_cmdex == `CMDEX_CALL_2_task_gate_STEP_0) || (wr_cmd == `CMD_JMP    && wr_cmdex == `CMDEX_JMP_task_gate_STEP_0) || (wr_cmd == `CMD_int    && wr_cmdex == `CMDEX_int_task_gate_STEP_0);
211
wire cond_185 = (wr_cmd == `CMD_CALL_2 && wr_cmdex == `CMDEX_CALL_2_task_gate_STEP_1) || (wr_cmd == `CMD_JMP    && wr_cmdex == `CMDEX_JMP_task_gate_STEP_1) || (wr_cmd == `CMD_int    && wr_cmdex == `CMDEX_int_task_gate_STEP_1);
212
wire cond_186 = (wr_cmd == `CMD_CALL_2 && wr_cmdex == `CMDEX_CALL_2_call_gate_STEP_0) || (wr_cmd == `CMD_int    && wr_cmdex == `CMDEX_int_int_trap_gate_STEP_0);
213
wire cond_187 = (wr_cmd == `CMD_CALL_2 && wr_cmdex == `CMDEX_CALL_2_call_gate_STEP_1) || (wr_cmd == `CMD_int    && wr_cmdex == `CMDEX_int_int_trap_gate_STEP_1);
214
wire cond_188 = (wr_cmd == `CMD_CALL_2 && wr_cmdex == `CMDEX_CALL_2_call_gate_STEP_2) || (wr_cmd == `CMD_int    && wr_cmdex == `CMDEX_int_int_trap_gate_STEP_2);
215
wire cond_189 = (wr_cmd == `CMD_CALL_2 && (wr_cmdex == `CMDEX_CALL_2_call_gate_same_STEP_0 || wr_cmdex == `CMDEX_CALL_2_call_gate_same_STEP_1)) || (wr_cmd == `CMD_int_2 && (wr_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_0 || wr_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_1 || wr_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_2 || wr_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_3));
216
wire cond_190 = (wr_cmd == `CMD_CALL_2 && wr_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_0) || (wr_cmd == `CMD_int_2  && wr_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_0);
217
wire cond_191 = (wr_cmd == `CMD_CALL_2 && wr_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_1) || (wr_cmd == `CMD_int_2  && wr_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_1);
218
wire cond_192 = wr_cmd == `CMD_STOS;
219
wire cond_193 = ~(wr_string_es_fault);
220
wire cond_194 = wr_string_finish;
221
wire cond_195 = wr_string_ignore;
222
wire cond_196 = wr_cmd == `CMD_INS;
223
wire cond_197 = wr_cmdex == `CMDEX_INS_real_1 || wr_cmdex == `CMDEX_INS_protected_1;
224
wire cond_198 = wr_string_finish || wr_prefix_group_1_rep == 2'd0;
225
wire cond_199 = wr_cmd == `CMD_OUTS;
226
wire cond_200 = io_allow_check_needed && wr_cmdex == `CMDEX_OUTS_first;
227
wire cond_201 = ~(write_io_for_wr_ready);
228
wire cond_202 = wr_cmd == `CMD_PUSHF;
229
wire cond_203 = wr_cmd == `CMD_JMP && (wr_cmdex == `CMDEX_JMP_Jv_STEP_0 || wr_cmdex == `CMDEX_JMP_Ev_STEP_0);
230
wire cond_204 = wr_cmd == `CMD_JMP && (wr_cmdex == `CMDEX_JMP_Ev_Jv_STEP_1 || wr_cmdex == `CMDEX_JMP_real_v8086_STEP_1);
231
wire cond_205 = wr_cmd == `CMD_JMP && (wr_cmdex == `CMDEX_JMP_Ep_STEP_0 || wr_cmdex == `CMDEX_JMP_Ap_STEP_0 ||  +  wr_cmdex == `CMDEX_JMP_Ep_STEP_1 || wr_cmdex == `CMDEX_JMP_Ap_STEP_1);
232
wire cond_206 = wr_cmd == `CMD_JMP && wr_cmdex == `CMDEX_JMP_real_v8086_STEP_0;
233
wire cond_207 = wr_cmd == `CMD_JMP && wr_cmdex == `CMDEX_JMP_task_switch_STEP_0;
234
wire cond_208 = wr_cmd == `CMD_JMP_2 && wr_cmdex == `CMDEX_JMP_2_call_gate_STEP_0;
235
wire cond_209 = wr_cmd == `CMD_JMP_2 && wr_cmdex == `CMDEX_JMP_2_call_gate_STEP_1;
236
wire cond_210 = wr_cmd == `CMD_OUT;
237
wire cond_211 = ~(io_allow_check_needed) || wr_cmdex == `CMDEX_OUT_protected;
238
wire cond_212 = wr_cmd == `CMD_MOV;
239
wire cond_213 = wr_cmd == `CMD_LAHF;
240
wire cond_214 = wr_cmd == `CMD_CBW;
241
wire cond_215 = wr_cmd == `CMD_CWD;
242
wire cond_216 = wr_cmd == `CMD_POPF && wr_cmdex == `CMDEX_POPF_STEP_0;
243
wire cond_217 = (protected_mode && cpl == 2'd0) || real_mode;
244
wire cond_218 = (protected_mode && cpl <= iopl) || v8086_mode || real_mode;
245
wire cond_219 = wr_cmd == `CMD_CLI;
246
wire cond_220 = wr_cmd == `CMD_STI;
247
wire cond_221 = iflag == `FALSE;
248
wire cond_222 = wr_cmd == `CMD_BOUND && wr_cmdex == `CMDEX_BOUND_STEP_FIRST;
249
wire cond_223 = wr_cmd == `CMD_SALC && wr_cmdex == `CMDEX_SALC_STEP_0;
250
wire cond_224 = cflag;
251
wire cond_225 = wr_cmd == `CMD_task_switch && wr_cmdex == `CMDEX_task_switch_STEP_1;
252
wire cond_226 = wr_cmd == `CMD_task_switch && (wr_cmdex == `CMDEX_task_switch_STEP_2 || wr_cmdex == `CMDEX_task_switch_STEP_3 || wr_cmdex == `CMDEX_task_switch_STEP_4 || wr_cmdex == `CMDEX_task_switch_STEP_5);
253
wire cond_227 = wr_cmd == `CMD_task_switch && wr_cmdex == `CMDEX_task_switch_STEP_6;
254
wire cond_228 = glob_param_1[`TASK_SWITCH_SOURCE_BITS] == `TASK_SWITCH_FROM_JUMP || glob_param_1[`TASK_SWITCH_SOURCE_BITS] == `TASK_SWITCH_FROM_IRET;
255
wire cond_229 = wr_cmd == `CMD_task_switch && (wr_cmdex == `CMDEX_task_switch_STEP_7 || wr_cmdex == `CMDEX_task_switch_STEP_8);
256
wire cond_230 = wr_cmd == `CMD_task_switch && wr_cmdex == `CMDEX_task_switch_STEP_9;
257
wire cond_231 = wr_cmd == `CMD_task_switch && wr_cmdex == `CMDEX_task_switch_STEP_10;
258
wire cond_232 = wr_cmd == `CMD_task_switch_2 && wr_cmdex <= `CMDEX_task_switch_2_STEP_13;
259
wire cond_233 = tr_cache[`DESC_BITS_TYPE] > 4'd3 || wr_cmdex <= `CMDEX_task_switch_2_STEP_11;
260
wire cond_234 = wr_cmd == `CMD_task_switch && wr_cmdex == `CMDEX_task_switch_STEP_11;
261
wire cond_235 = glob_param_1[`TASK_SWITCH_SOURCE_BITS] == `TASK_SWITCH_FROM_CALL || glob_param_1[`TASK_SWITCH_SOURCE_BITS] == `TASK_SWITCH_FROM_INT;
262
wire cond_236 = wr_cmd == `CMD_task_switch && wr_cmdex >= `CMDEX_task_switch_STEP_12 && wr_cmdex <= `CMDEX_task_switch_STEP_14;
263
wire cond_237 = wr_cmd == `CMD_task_switch_3;
264
wire cond_238 = wr_cmd == `CMD_task_switch_4 && wr_cmdex == `CMDEX_task_switch_4_STEP_0;
265
wire cond_239 = glob_param_1[`TASK_SWITCH_SOURCE_BITS] != `TASK_SWITCH_FROM_IRET;
266
wire cond_240 = wr_cmd == `CMD_task_switch_4 && wr_cmdex == `CMDEX_task_switch_4_STEP_1;
267
wire cond_241 = glob_descriptor[`DESC_BITS_TYPE] >= 4'd9 && cr0_pg && cr3 != exe_buffer_shifted[463:432];
268
wire cond_242 = wr_cmd == `CMD_task_switch_4 && wr_cmdex == `CMDEX_task_switch_4_STEP_2;
269
wire cond_243 = glob_param_2[2:0] == 3'b000;
270
wire cond_244 = wr_cmd == `CMD_task_switch_4 && wr_cmdex >= `CMDEX_task_switch_4_STEP_3 && wr_cmdex <= `CMDEX_task_switch_4_STEP_8;
271
wire cond_245 = wr_cmdex == `CMDEX_task_switch_4_STEP_3;
272
wire cond_246 = glob_param_2[1:0] == 2'b00 && ~(v8086_mode) && `DESC_IS_NOT_ACCESSED(glob_descriptor);
273
wire cond_247 = wr_cmdex == `CMDEX_task_switch_4_STEP_4;
274
wire cond_248 = wr_cmdex == `CMDEX_task_switch_4_STEP_5;
275
wire cond_249 = wr_cmdex == `CMDEX_task_switch_4_STEP_6;
276
wire cond_250 = wr_cmdex == `CMDEX_task_switch_4_STEP_7;
277
wire cond_251 = glob_param_2[1:0] == 2'b00 && `DESC_IS_ACCESSED(glob_descriptor);
278
wire cond_252 = glob_param_2[1:0] != 2'b00;
279
wire cond_253 = wr_cmd == `CMD_task_switch_4 && wr_cmdex == `CMDEX_task_switch_4_STEP_9;
280
wire cond_254 = glob_param_3[16];
281
wire cond_255 = wr_cmd == `CMD_task_switch_4 && wr_cmdex == `CMDEX_task_switch_4_STEP_10;
282
wire cond_256 = glob_param_3[17] && task_trap[0];
283
wire cond_257 = wr_cmd == `CMD_LEA;
284
wire cond_258 = (wr_cmd == `CMD_SGDT || wr_cmd == `CMD_SIDT);
285
wire cond_259 = wr_cmdex == `CMDEX_SGDT_SIDT_STEP_1;
286
wire cond_260 = wr_cmdex == `CMDEX_SGDT_SIDT_STEP_2;
287
wire cond_261 = wr_cmd == `CMD_MOVS;
288
wire cond_262 = wr_cmd == `CMD_MOVSX || wr_cmd == `CMD_MOVZX;
289
wire cond_263 = wr_cmd == `CMD_POPA;
290
wire cond_264 = wr_cmdex[2:0] == 3'd7;
291
wire cond_265 = wr_cmd == `CMD_debug_reg && wr_cmdex == `CMDEX_debug_reg_MOV_store_STEP_0;
292
wire cond_266 = wr_cmd == `CMD_debug_reg && wr_cmdex == `CMDEX_debug_reg_MOV_load_STEP_0;
293
wire cond_267 = wr_decoder[13:11] == 3'd1;
294
wire cond_268 = (wr_decoder[13:11] == 3'd4 || wr_decoder[13:11] == 3'd6);
295
wire cond_269 = (wr_decoder[13:11] == 3'd5 || wr_decoder[13:11] == 3'd7);
296
wire cond_270 = wr_cmd == `CMD_debug_reg && wr_cmdex == `CMDEX_debug_reg_MOV_load_STEP_1;
297
wire cond_271 = wr_cmd == `CMD_XLAT;
298
wire cond_272 = wr_cmd == `CMD_AAA || wr_cmd == `CMD_AAS;
299
wire cond_273 = wr_cmd == `CMD_DAA || wr_cmd == `CMD_DAS;
300
wire cond_274 = { wr_cmd[6:1], 1'd0 } == `CMD_BSx;
301
//======================================================== saves
302
assign gdtr_limit_to_reg =
303
    (cond_119 && cond_121 && cond_120)? ( result2[15:0]) :
304
    gdtr_limit;
305
assign tr_to_reg =
306
    (cond_240)? (         glob_param_1[15:0]) :
307
    tr;
308
assign cr0_nw_to_reg =
309
    (cond_113 && cond_114)? ( result2[29]) :
310
    cr0_nw;
311
assign ss_rpl_to_reg =
312
    (cond_87)? ( 2'd3) :
313
    (cond_240)? (            task_ss[1:0]) :
314
    ss_rpl;
315
assign cr0_cd_to_reg =
316
    (cond_113 && cond_114)? ( result2[30]) :
317
    cr0_cd;
318
assign cs_cache_to_reg =
319
    (cond_87)? ( `DESC_MASK_P | `DESC_MASK_DPL | `DESC_MASK_SEG | `DESC_MASK_DATA_RWA | { 24'd0, 4'd0,wr_IRET_to_v86_cs[15:12], wr_IRET_to_v86_cs[11:0],4'd0, 16'hFFFF }) :
320
    (cond_113 && cond_114 && cond_116)? ( { cs_cache[63:48], 1'b1, cs_cache[46:45], 1'b1, 4'b0011, cs_cache[39:0] }) :
321
    cs_cache;
322
assign tr_cache_to_reg =
323
    (cond_240)? (   glob_descriptor | 64'h0000020000000000) :
324
    tr_cache;
325
assign fs_cache_valid_to_reg =
326
    (cond_63 && cond_64)? ( `FALSE) :
327
    (cond_87)? ( `TRUE) :
328
    (cond_240)? (    `FALSE) :
329
    fs_cache_valid;
330
assign ldtr_to_reg =
331
    (cond_240)? (              task_ldtr) :
332
    ldtr;
333
assign dr6_b12_to_reg =
334
    (cond_266 && cond_268)? (    result2[12]) :
335
    dr6_b12;
336
assign zflag_to_reg =
337
    (cond_0)? ( zflag_result) :
338
    (cond_24)? ( zflag_result) :
339
    (cond_32 && cond_33)? ( zflag_result) :
340
    (cond_37)? ( zflag_result) :
341
    (cond_39 && cond_5)? ( `TRUE) :
342
    (cond_39 && ~cond_5)? ( `FALSE) :
343
    (cond_51)? ( eax[14]) :
344
    (cond_66)? ( zflag_result) :
345
    (cond_82)? (  glob_param_3[6]) :
346
    (cond_87)? (  glob_param_3[6]) :
347
    (cond_89)? (  glob_param_3[6]) :
348
    (cond_93)? (  glob_param_5[6]) :
349
    (cond_102 && cond_104)? ( zflag_result) :
350
    (cond_107 && cond_33)? ( zflag_result) :
351
    (cond_129 && cond_5)? ( `TRUE) :
352
    (cond_129 && ~cond_5)? ( zflag_result) :
353
    (cond_133)? ( zflag_result) :
354
    (cond_136 && cond_104)? ( zflag_result) :
355
    (cond_139)? ( zflag_result) :
356
    (cond_142)? ( zflag_result) :
357
    (cond_145)? ( zflag_result) :
358
    (cond_171 && cond_172)? ( `TRUE) :
359
    (cond_171 && ~cond_172)? ( `FALSE) :
360
    (cond_173)? ( wr_dst_is_reg) :
361
    (cond_216)? (  result2[6]) :
362
    (cond_240)? (  task_eflags[6]) :
363
    (cond_272)? ( zflag_result) :
364
    (cond_273)? ( zflag_result) :
365
    (cond_274 && cond_5)? ( `TRUE) :
366
    (cond_274 && ~cond_5)? ( `FALSE) :
367
    zflag;
368
assign fs_rpl_to_reg =
369
    (cond_87)? ( 2'd3) :
370
    (cond_240)? (            task_fs[1:0]) :
371
    fs_rpl;
372
assign esp_to_reg =
373
    (cond_8 && cond_10)? ( wr_stack_esp) :
374
    (cond_11 && cond_10)? ( wr_stack_esp) :
375
    (cond_14 && cond_15)? ( wr_new_stack_esp) :
376
    (cond_19 && cond_15 && cond_20)? ( wr_new_stack_esp) :
377
    (cond_21 && cond_22)? ( wr_stack_esp) :
378
    (cond_38)? ( wr_stack_esp) :
379
    (cond_55 && cond_15 && cond_56)? ( wr_new_stack_esp) :
380
    (cond_65 && cond_10)? ( wr_stack_esp) :
381
    (cond_75)? ( wr_stack_esp) :
382
    (cond_80)? ( wr_stack_esp) :
383
    (cond_87)? ( exe_buffer_shifted[159:128]) :
384
    (cond_96)? ( wr_stack_esp) :
385
    (cond_97)? ( wr_stack_esp) :
386
    (cond_124 && cond_10)? ( wr_stack_esp) :
387
    (cond_130)? ( wr_stack_esp) :
388
    (cond_131)? ( wr_stack_esp) :
389
    (cond_132 && cond_10)? ( wr_stack_esp) :
390
    (cond_135)? ( wr_stack_esp) :
391
    (cond_147)? ( wr_stack_esp) :
392
    (cond_149)? ( wr_stack_esp) :
393
    (cond_158 && cond_22)? ( wr_stack_esp) :
394
    (cond_174 && cond_175 && ~cond_9 && cond_176)? ( wr_stack_esp) :
395
    (cond_174 && ~cond_175 && cond_176)? ( wr_stack_esp) :
396
    (cond_182)? ( wr_stack_esp) :
397
    (cond_189 && cond_10)? ( wr_stack_esp) :
398
    (cond_202 && cond_22)? ( wr_stack_esp) :
399
    (cond_216)? ( wr_stack_esp) :
400
    (cond_240)? ( (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? { 16'hFFFF, exe_buffer_shifted[223:208] } : exe_buffer_shifted[239:208]) :
401
    (cond_253 && cond_254 && cond_10)? ( wr_stack_esp) :
402
    (cond_263)? ( wr_stack_esp) :
403
    esp;
404
assign ebp_to_reg =
405
    (cond_131)? ( { wr_operand_16bit? ebp[31:16] : exe_buffer[31:16], exe_buffer[15:0] }) :
406
    (cond_135)? ( { wr_operand_16bit? ebp[31:16] : result_push[31:16], result_push[15:0] }) :
407
    (cond_240)? ( (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? { 16'hFFFF, exe_buffer_shifted[191:176] } : exe_buffer_shifted[207:176]) :
408
    (cond_263 && cond_264)? ( { wr_operand_16bit? ebp[31:16] : exe_buffer_shifted[159:144], exe_buffer_shifted[143:128] }) :
409
    ebp;
410
assign tr_rpl_to_reg =
411
    (cond_240)? (     glob_param_1[1:0]) :
412
    tr_rpl;
413
assign fs_to_reg =
414
    (cond_63 && cond_64)? (             16'd0) :
415
    (cond_87)? ( wr_IRET_to_v86_fs) :
416
    (cond_240)? (                task_fs) :
417
    fs;
418
assign gs_cache_to_reg =
419
    (cond_87)? ( `DESC_MASK_P | `DESC_MASK_DPL | `DESC_MASK_SEG | `DESC_MASK_DATA_RWA | { 24'd0, 4'd0,wr_IRET_to_v86_gs[15:12], wr_IRET_to_v86_gs[11:0],4'd0, 16'hFFFF }) :
420
    gs_cache;
421
assign oflag_to_reg =
422
    (cond_0)? ( oflag_arith) :
423
    (cond_24)? ( oflag_arith) :
424
    (cond_32 && cond_33)? ( oflag_arith) :
425
    (cond_37)? ( oflag_arith) :
426
    (cond_66)? ( oflag_arith) :
427
    (cond_82)? (  glob_param_3[11]) :
428
    (cond_87)? (  glob_param_3[11]) :
429
    (cond_89)? (  glob_param_3[11]) :
430
    (cond_93)? (  glob_param_5[11]) :
431
    (cond_102 && cond_105)? ( result_signals[1]) :
432
    (cond_107 && cond_33)? ( oflag_arith) :
433
    (cond_129 && cond_5)? ( `FALSE) :
434
    (cond_129 && ~cond_5)? ( oflag_arith) :
435
    (cond_133)? ( wr_mult_overflow) :
436
    (cond_136 && cond_105)? ( result_signals[1]) :
437
    (cond_139)? ( oflag_arith) :
438
    (cond_142)? ( wr_mult_overflow) :
439
    (cond_145)? ( oflag_arith) :
440
    (cond_216)? (  result2[11]) :
441
    (cond_240)? (  task_eflags[11]) :
442
    (cond_272)? ( oflag_arith) :
443
    (cond_273)? ( oflag_arith) :
444
    (cond_274 && ~cond_5)? ( oflag_arith) :
445
    oflag;
446
assign ss_to_reg =
447
    (cond_87)? ( wr_IRET_to_v86_ss) :
448
    (cond_240)? (                task_ss) :
449
    ss;
450
assign ebx_to_reg =
451
    (cond_164 && cond_165)? ( "ineG") :
452
    (cond_164 && cond_166)? ( 32'h00010000) :
453
    (cond_240)? ( (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? { 16'hFFFF, exe_buffer_shifted[255:240] } : exe_buffer_shifted[271:240]) :
454
    (cond_263 && cond_264)? ( { wr_operand_16bit? ebx[31:16] : exe_buffer_shifted[95:80],   exe_buffer_shifted[79:64] }) :
455
    ebx;
456
assign dflag_to_reg =
457
    (cond_48)? ( `FALSE) :
458
    (cond_50)? ( `TRUE) :
459
    (cond_82)? (  glob_param_3[10]) :
460
    (cond_87)? (  glob_param_3[10]) :
461
    (cond_89)? (  glob_param_3[10]) :
462
    (cond_93)? (  glob_param_5[10]) :
463
    (cond_216)? (  result2[10]) :
464
    (cond_240)? (  task_eflags[10]) :
465
    dflag;
466
assign dr3_to_reg =
467
    (cond_266 && cond_118)? ( result2) :
468
    dr3;
469
assign dr2_to_reg =
470
    (cond_266 && cond_117)? ( result2) :
471
    dr2;
472
assign acflag_to_reg =
473
    (cond_60)? ( `FALSE) :
474
    (cond_82 && cond_83)? ( glob_param_3[18]) :
475
    (cond_87)? ( glob_param_3[18]) :
476
    (cond_89 && cond_83)? ( glob_param_3[18]) :
477
    (cond_93 && cond_83)? ( glob_param_5[18]) :
478
    (cond_216 && cond_83)? ( result2[18]) :
479
    (cond_240)? ( task_eflags[18]) :
480
    acflag;
481
assign cr0_mp_to_reg =
482
    (cond_110)? ( result2[1]) :
483
    (cond_113 && cond_114)? ( result2[1]) :
484
    cr0_mp;
485
assign cr0_wp_to_reg =
486
    (cond_113 && cond_114)? ( result2[16]) :
487
    cr0_wp;
488
assign cr2_to_reg =
489
    (cond_113 && cond_117)? ( result2) :
490
    cr2;
491
assign cr3_to_reg =
492
    (cond_113 && cond_118)? ( result2) :
493
    (cond_240 && cond_241)? ( exe_buffer_shifted[463:432]) :
494
    cr3;
495
assign dr1_to_reg =
496
    (cond_266 && cond_267)? ( result2) :
497
    dr1;
498
assign dr0_to_reg =
499
    (cond_266 && cond_114)? ( result2) :
500
    dr0;
501
assign ds_rpl_to_reg =
502
    (cond_87)? ( 2'd3) :
503
    (cond_240)? (            task_ds[1:0]) :
504
    ds_rpl;
505
assign dr7_to_reg =
506
    (cond_240)? ( dr7 & 32'hFFFFFEAA) :
507
    (cond_266 && cond_269)? (    result2 | 32'h00000400) :
508
    dr7;
509
assign ds_cache_valid_to_reg =
510
    (cond_63 && cond_64)? ( `FALSE) :
511
    (cond_87)? ( `TRUE) :
512
    (cond_240)? (    `FALSE) :
513
    ds_cache_valid;
514
assign cs_to_reg =
515
    (cond_87)? ( wr_IRET_to_v86_cs) :
516
    (cond_240)? (             task_cs) :
517
    cs;
518
assign cr0_am_to_reg =
519
    (cond_113 && cond_114)? ( result2[18]) :
520
    cr0_am;
521
assign cs_cache_valid_to_reg =
522
    (cond_87)? ( `TRUE) :
523
    (cond_240)? ( `FALSE) :
524
    cs_cache_valid;
525
assign idtr_limit_to_reg =
526
    (cond_119 && cond_122 && cond_120)? ( result2[15:0]) :
527
    idtr_limit;
528
assign gdtr_base_to_reg =
529
    (cond_119 && cond_121 && ~cond_120)? ( wr_operand_32bit? result2 : { 8'd0, result2[23:0] }) :
530
    gdtr_base;
531
assign cr0_ne_to_reg =
532
    (cond_113 && cond_114)? ( result2[5]) :
533
    cr0_ne;
534
assign cr0_em_to_reg =
535
    (cond_110)? ( result2[2]) :
536
    (cond_113 && cond_114)? ( result2[2]) :
537
    cr0_em;
538
assign fs_cache_to_reg =
539
    (cond_87)? ( `DESC_MASK_P | `DESC_MASK_DPL | `DESC_MASK_SEG | `DESC_MASK_DATA_RWA | { 24'd0, 4'd0,wr_IRET_to_v86_fs[15:12], wr_IRET_to_v86_fs[11:0],4'd0, 16'hFFFF }) :
540
    fs_cache;
541
assign dr6_bd_to_reg =
542
    (cond_266 && cond_268)? (     result2[13]) :
543
    dr6_bd;
544
assign idtr_base_to_reg =
545
    (cond_119 && cond_122 && ~cond_120)? ( wr_operand_32bit? result2 : { 8'd0, result2[23:0] }) :
546
    idtr_base;
547
assign gs_to_reg =
548
    (cond_63 && cond_64)? (             16'd0) :
549
    (cond_87)? ( wr_IRET_to_v86_gs) :
550
    (cond_240)? (                task_gs) :
551
    gs;
552
assign ldtr_cache_to_reg =
553
    (cond_242 && cond_243)? (         glob_descriptor) :
554
    ldtr_cache;
555
assign eax_to_reg =
556
    (cond_66)? ( { eax[31:16], result[15:0] }) :
557
    (cond_100)? ( (wr_is_8bit || wr_operand_16bit)? { eax[31:16], result[15:0] } : result) :
558
    (cond_129 && ~cond_5)? ( (wr_is_8bit)? { eax[31:8], result2[7:0] } : (wr_operand_16bit)? { eax[31:16], result2[15:0] } : result2) :
559
    (cond_133 && cond_134)? ( (wr_is_8bit || wr_operand_16bit)? { eax[31:16], result[15:0] } : result) :
560
    (cond_142 && cond_134)? ( (wr_is_8bit || wr_operand_16bit)? { eax[31:16], result[15:0] } : result) :
561
    (cond_152 && cond_33)? ( (wr_is_8bit)? { eax[31:8], result2[7:0] } : (wr_operand_16bit)? { eax[31:16], result2[15:0] } : result2) :
562
    (cond_155)? ( (wr_operand_16bit)? { eax[31:16], result2[15:0] } : result2) :
563
    (cond_164 && cond_165)? ( 32'd1) :
564
    (cond_164 && cond_166)? ( `CPUID_MODEL_FAMILY_STEPPING) :
565
    (cond_167 && cond_168)? ( (wr_is_8bit)? { eax[31:8], result2[7:0] } : (wr_operand_16bit)? { eax[31:16], result2[15:0] } : result2) :
566
    (cond_213)? ( { eax[31:16], sflag, zflag, 1'b0, aflag, 1'b0, pflag, 1'b1, cflag, eax[7:0] }) :
567
    (cond_214 && cond_83)? ( { {16{eax[15]}}, eax[15:0] }) :
568
    (cond_214 && ~cond_83)? ( { eax[31:16], {8{eax[7]}}, eax[7:0] }) :
569
    (cond_223 && cond_224)? ( { eax[31:8], 8'hFF }) :
570
    (cond_223 && ~cond_224)? ( { eax[31:8], 8'h00 }) :
571
    (cond_240)? ( (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? { 16'hFFFF, exe_buffer_shifted[351:336] } : exe_buffer_shifted[367:336]) :
572
    (cond_263 && cond_264)? ( { wr_operand_16bit? eax[31:16] : exe_buffer[31:16],           exe_buffer[15:0] }) :
573
    (cond_272)? ( { eax[31:16], result[15:0] }) :
574
    (cond_273)? ( { eax[31:16], result[15:0] }) :
575
    eax;
576
assign dr6_bs_to_reg =
577
    (cond_266 && cond_268)? (     result2[14]) :
578
    dr6_bs;
579
assign edi_to_reg =
580
    (cond_32 && cond_33)? ( wr_edi_final) :
581
    (cond_107 && cond_33)? ( wr_edi_final) :
582
    (cond_192 && cond_33 && ~cond_9)? ( wr_edi_final) :
583
    (cond_196 && ~cond_197 && cond_33 && ~cond_9)? ( wr_edi_final) :
584
    (cond_240)? ( (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? { 16'hFFFF, exe_buffer_shifted[127:112] } : exe_buffer_shifted[143:112]) :
585
    (cond_261 && cond_33 && ~cond_9)? ( wr_edi_final) :
586
    (cond_263 && cond_264)? ( { wr_operand_16bit? edi[31:16] : exe_buffer_shifted[223:208], exe_buffer_shifted[207:192] }) :
587
    edi;
588
assign dr6_bt_to_reg =
589
    (cond_266 && cond_268)? (     result2[15]) :
590
    dr6_bt;
591
wire [1:0] wr_task_rpl_to_reg =
592
    (cond_179)? ( cpl) :
593
    (cond_240)? ( task_cs[1:0]) :
594
    wr_task_rpl;
595
assign iopl_to_reg =
596
    (cond_82 && cond_68)? (  glob_param_3[13:12]) :
597
    (cond_87)? (   glob_param_3[13:12]) :
598
    (cond_89 && cond_91)? (  glob_param_3[13:12]) :
599
    (cond_93 && cond_95)? (  glob_param_5[13:12]) :
600
    (cond_216 && cond_217)? (  result2[13:12]) :
601
    (cond_240)? (   task_eflags[13:12]) :
602
    iopl;
603
assign ldtr_rpl_to_reg =
604
    (cond_240)? (          task_ldtr[1:0]) :
605
    ldtr_rpl;
606
assign es_rpl_to_reg =
607
    (cond_87)? ( 2'd3) :
608
    (cond_240)? (            task_es[1:0]) :
609
    es_rpl;
610
assign ldtr_cache_valid_to_reg =
611
    (cond_240)? (  `FALSE) :
612
    (cond_242 && cond_243)? (   `TRUE) :
613
    ldtr_cache_valid;
614
assign es_cache_to_reg =
615
    (cond_87)? ( `DESC_MASK_P | `DESC_MASK_DPL | `DESC_MASK_SEG | `DESC_MASK_DATA_RWA | { 24'd0, 4'd0,wr_IRET_to_v86_es[15:12], wr_IRET_to_v86_es[11:0],4'd0, 16'hFFFF }) :
616
    es_cache;
617
assign iflag_to_reg =
618
    (cond_60)? (  `FALSE) :
619
    (cond_62)? (  (glob_param_1[20] == 1'b0)? `FALSE : iflag) :
620
    (cond_63)? (  (glob_param_3[20] == 1'b0)? `FALSE : iflag) :
621
    (cond_82)? (  glob_param_3[9]) :
622
    (cond_87)? (  glob_param_3[9]) :
623
    (cond_89 && cond_90)? (  glob_param_3[9]) :
624
    (cond_93 && cond_94)? (  glob_param_5[9]) :
625
    (cond_216 && cond_218)? ( result2[9]) :
626
    (cond_219)? ( `FALSE) :
627
    (cond_220)? ( `TRUE) :
628
    (cond_240)? (  task_eflags[9]) :
629
    iflag;
630
assign sflag_to_reg =
631
    (cond_0)? ( sflag_result) :
632
    (cond_24)? ( sflag_result) :
633
    (cond_32 && cond_33)? ( sflag_result) :
634
    (cond_37)? ( sflag_result) :
635
    (cond_51)? ( eax[15]) :
636
    (cond_66)? ( sflag_result) :
637
    (cond_82)? (  glob_param_3[7]) :
638
    (cond_87)? (  glob_param_3[7]) :
639
    (cond_89)? (  glob_param_3[7]) :
640
    (cond_93)? (  glob_param_5[7]) :
641
    (cond_102 && cond_104)? ( sflag_result) :
642
    (cond_107 && cond_33)? ( sflag_result) :
643
    (cond_129 && cond_5)? ( `FALSE) :
644
    (cond_129 && ~cond_5)? ( sflag_result) :
645
    (cond_133)? ( sflag_result) :
646
    (cond_136 && cond_104)? ( sflag_result) :
647
    (cond_139)? ( sflag_result) :
648
    (cond_142)? ( sflag_result) :
649
    (cond_145)? ( sflag_result) :
650
    (cond_216)? (  result2[7]) :
651
    (cond_240)? (  task_eflags[7]) :
652
    (cond_272)? ( sflag_result) :
653
    (cond_273)? ( sflag_result) :
654
    (cond_274 && ~cond_5)? ( sflag_result) :
655
    sflag;
656
assign edx_to_reg =
657
    (cond_100 && cond_101)? ( (wr_operand_16bit)? { edx[31:16], result[31:16] } : result2) :
658
    (cond_133 && cond_134 && cond_101)? ( (wr_operand_16bit)? { edx[31:16], result[31:16] } : result2) :
659
    (cond_142 && cond_134 && cond_101)? ( (wr_operand_16bit)? { edx[31:16], result[31:16] } : result2) :
660
    (cond_164 && cond_165)? ( "Aenu") :
661
    (cond_164 && cond_166)? ( 32'd0) :
662
    (cond_215 && cond_83)? ( {32{eax[31]}}) :
663
    (cond_215 && ~cond_83)? ( { edx[31:16], {16{eax[15]}} }) :
664
    (cond_240)? ( (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? { 16'hFFFF, exe_buffer_shifted[287:272] } : exe_buffer_shifted[303:272]) :
665
    (cond_263 && cond_264)? ( { wr_operand_16bit? edx[31:16] : exe_buffer_shifted[63:48],   exe_buffer_shifted[47:32] }) :
666
    edx;
667
assign vmflag_to_reg =
668
    (cond_62)? ( `FALSE) :
669
    (cond_63)? ( `FALSE) :
670
    (cond_87)? ( glob_param_3[`EFLAGS_BIT_VM]) :
671
    (cond_240)? ( task_eflags[17]) :
672
    vmflag;
673
assign gs_rpl_to_reg =
674
    (cond_87)? ( 2'd3) :
675
    (cond_240)? (            task_gs[1:0]) :
676
    gs_rpl;
677
assign ds_to_reg =
678
    (cond_63 && cond_64)? (             16'd0) :
679
    (cond_87)? ( wr_IRET_to_v86_ds) :
680
    (cond_240)? (                task_ds) :
681
    ds;
682
assign ds_cache_to_reg =
683
    (cond_87)? ( `DESC_MASK_P | `DESC_MASK_DPL | `DESC_MASK_SEG | `DESC_MASK_DATA_RWA | { 24'd0, 4'd0,wr_IRET_to_v86_ds[15:12], wr_IRET_to_v86_ds[11:0],4'd0, 16'hFFFF }) :
684
    ds_cache;
685
assign rflag_to_reg =
686
    (cond_60)? (  `FALSE) :
687
    (cond_62)? (  `FALSE) :
688
    (cond_63)? (  `FALSE) :
689
    (cond_82 && cond_83)? (  glob_param_3[16]) :
690
    (cond_87)? (  glob_param_3[16]) :
691
    (cond_89 && cond_83)? (  glob_param_3[16]) :
692
    (cond_93 && cond_83)? (  glob_param_5[16]) :
693
    (cond_216 && cond_83)? (  result2[16]) :
694
    (cond_240)? (  task_eflags[16]) :
695
    rflag;
696
assign esi_to_reg =
697
    (cond_107 && cond_33)? ( wr_esi_final) :
698
    (cond_152 && cond_33)? ( wr_esi_final) :
699
    (cond_199 && ~cond_200 && cond_33 && ~cond_201)? ( wr_esi_final) :
700
    (cond_240)? ( (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? { 16'hFFFF, exe_buffer_shifted[159:144] } : exe_buffer_shifted[175:144]) :
701
    (cond_261 && cond_33 && ~cond_9)? ( wr_esi_final) :
702
    (cond_263 && cond_264)? ( { wr_operand_16bit? esi[31:16] : exe_buffer_shifted[191:176], exe_buffer_shifted[175:160] }) :
703
    esi;
704
assign ss_cache_to_reg =
705
    (cond_87)? ( `DESC_MASK_P | `DESC_MASK_DPL | `DESC_MASK_SEG | `DESC_MASK_DATA_RWA | { 24'd0, 4'd0,wr_IRET_to_v86_ss[15:12], wr_IRET_to_v86_ss[11:0],4'd0, 16'hFFFF }) :
706
    ss_cache;
707
assign gs_cache_valid_to_reg =
708
    (cond_63 && cond_64)? ( `FALSE) :
709
    (cond_87)? ( `TRUE) :
710
    (cond_240)? (    `FALSE) :
711
    gs_cache_valid;
712
assign es_cache_valid_to_reg =
713
    (cond_63 && cond_64)? ( `FALSE) :
714
    (cond_87)? ( `TRUE) :
715
    (cond_240)? (    `FALSE) :
716
    es_cache_valid;
717
assign ntflag_to_reg =
718
    (cond_62)? ( `FALSE) :
719
    (cond_63)? ( `FALSE) :
720
    (cond_82)? ( glob_param_3[14]) :
721
    (cond_87)? ( glob_param_3[14]) :
722
    (cond_89)? ( glob_param_3[14]) :
723
    (cond_93)? ( glob_param_5[14]) :
724
    (cond_216)? ( result2[14]) :
725
    (cond_240)? ( task_eflags[14] |  + (glob_param_1[`TASK_SWITCH_SOURCE_BITS] == `TASK_SWITCH_FROM_CALL || glob_param_1[`TASK_SWITCH_SOURCE_BITS] == `TASK_SWITCH_FROM_INT)) :
726
    ntflag;
727
assign cr0_pg_to_reg =
728
    (cond_113 && cond_114)? ( result2[31]) :
729
    cr0_pg;
730
assign tflag_to_reg =
731
    (cond_60)? (  `FALSE) :
732
    (cond_62)? (  `FALSE) :
733
    (cond_63)? (  `FALSE) :
734
    (cond_82)? (  glob_param_3[8]) :
735
    (cond_87)? (  glob_param_3[8]) :
736
    (cond_89)? (  glob_param_3[8]) :
737
    (cond_93)? (  glob_param_5[8]) :
738
    (cond_216)? (  result2[8]) :
739
    (cond_240)? (  task_eflags[8]) :
740
    tflag;
741
assign cr0_ts_to_reg =
742
    (cond_110)? ( result2[3]) :
743
    (cond_113 && cond_114)? ( result2[3]) :
744
    (cond_146)? ( `FALSE) :
745
    (cond_240)? ( `TRUE) :
746
    cr0_ts;
747
assign aflag_to_reg =
748
    (cond_0)? ( aflag_arith) :
749
    (cond_24)? ( aflag_arith) :
750
    (cond_32 && cond_33)? ( aflag_arith) :
751
    (cond_37)? ( aflag_arith) :
752
    (cond_51)? ( eax[12]) :
753
    (cond_66)? ( aflag_arith) :
754
    (cond_82)? (  glob_param_3[4]) :
755
    (cond_87)? (  glob_param_3[4]) :
756
    (cond_89)? (  glob_param_3[4]) :
757
    (cond_93)? (  glob_param_5[4]) :
758
    (cond_102 && cond_104)? ( aflag_arith) :
759
    (cond_107 && cond_33)? ( aflag_arith) :
760
    (cond_129 && cond_5)? ( `FALSE) :
761
    (cond_129 && ~cond_5)? ( aflag_arith) :
762
    (cond_133)? ( 1'b0) :
763
    (cond_136 && cond_104)? ( aflag_arith) :
764
    (cond_139)? ( aflag_arith) :
765
    (cond_142)? ( 1'b0) :
766
    (cond_145)? ( aflag_arith) :
767
    (cond_216)? (  result2[4]) :
768
    (cond_240)? (  task_eflags[4]) :
769
    (cond_272)? ( result_signals[1]) :
770
    (cond_273)? ( result_signals[1]) :
771
    (cond_274 && ~cond_5)? ( aflag_arith) :
772
    aflag;
773
assign ecx_to_reg =
774
    (cond_32 && cond_33 && cond_34)? ( wr_ecx_final) :
775
    (cond_107 && cond_33 && cond_34)? ( wr_ecx_final) :
776
    (cond_143 && cond_144)? ( { ecx[31:16], wr_ecx_minus_1[15:0] }) :
777
    (cond_143 && ~cond_144)? ( wr_ecx_minus_1) :
778
    (cond_152 && cond_33 && cond_34)? ( wr_ecx_final) :
779
    (cond_164 && cond_165)? ( "684O") :
780
    (cond_164 && cond_166)? ( 32'd0) :
781
    (cond_192 && cond_33 && ~cond_9 && cond_34)? ( wr_ecx_final) :
782
    (cond_196 && ~cond_197 && cond_33 && ~cond_9 && cond_34)? ( wr_ecx_final) :
783
    (cond_199 && ~cond_200 && cond_33 && ~cond_201 && cond_34)? ( wr_ecx_final) :
784
    (cond_240)? ( (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? { 16'hFFFF, exe_buffer_shifted[319:304] } : exe_buffer_shifted[335:304]) :
785
    (cond_261 && cond_33 && ~cond_9 && cond_34)? ( wr_ecx_final) :
786
    (cond_263 && cond_264)? ( { wr_operand_16bit? ecx[31:16] : exe_buffer_shifted[31:16],   exe_buffer_shifted[15:0] }) :
787
    ecx;
788
assign cr0_pe_to_reg =
789
    (cond_110)? ( cr0_pe | result2[0]) :
790
    (cond_113 && cond_114)? ( result2[0]) :
791
    cr0_pe;
792
assign ss_cache_valid_to_reg =
793
    (cond_87)? ( `TRUE) :
794
    (cond_240)? (    `FALSE) :
795
    ss_cache_valid;
796
assign pflag_to_reg =
797
    (cond_0)? ( pflag_result) :
798
    (cond_24)? ( pflag_result) :
799
    (cond_32 && cond_33)? ( pflag_result) :
800
    (cond_37)? ( pflag_result) :
801
    (cond_51)? ( eax[10]) :
802
    (cond_66)? ( pflag_result) :
803
    (cond_82)? (  glob_param_3[2]) :
804
    (cond_87)? (  glob_param_3[2]) :
805
    (cond_89)? (  glob_param_3[2]) :
806
    (cond_93)? (  glob_param_5[2]) :
807
    (cond_102 && cond_104)? ( pflag_result) :
808
    (cond_107 && cond_33)? ( pflag_result) :
809
    (cond_129 && cond_5)? ( `TRUE) :
810
    (cond_129 && ~cond_5)? ( pflag_result) :
811
    (cond_133)? ( pflag_result) :
812
    (cond_136 && cond_104)? ( pflag_result) :
813
    (cond_139)? ( pflag_result) :
814
    (cond_142)? ( pflag_result) :
815
    (cond_145)? ( pflag_result) :
816
    (cond_216)? (  result2[2]) :
817
    (cond_240)? (  task_eflags[2]) :
818
    (cond_272)? ( pflag_result) :
819
    (cond_273)? ( pflag_result) :
820
    (cond_274 && ~cond_5)? ( pflag_result) :
821
    pflag;
822
assign dr6_breakpoints_to_reg =
823
    (cond_266 && cond_268)? ( result2[3:0]) :
824
    dr6_breakpoints;
825
assign cs_rpl_to_reg =
826
    (cond_87)? ( 2'd3) :
827
    (cond_240)? (         2'd3) :
828
    (cond_244 && cond_245)? ( wr_task_rpl) :
829
    cs_rpl;
830
assign es_to_reg =
831
    (cond_63 && cond_64)? (             16'd0) :
832
    (cond_87)? ( wr_IRET_to_v86_es) :
833
    (cond_240)? (                task_es) :
834
    es;
835
assign cflag_to_reg =
836
    (cond_0)? ( cflag_arith) :
837
    (cond_24)? ( cflag_arith) :
838
    (cond_32 && cond_33)? ( cflag_arith) :
839
    (cond_46)? ( `FALSE) :
840
    (cond_47)? ( ~cflag) :
841
    (cond_49)? ( `TRUE) :
842
    (cond_51)? ( eax[8]) :
843
    (cond_66)? ( cflag_arith) :
844
    (cond_78)? ( result_signals[0]) :
845
    (cond_82)? (  glob_param_3[0]) :
846
    (cond_87)? (  glob_param_3[0]) :
847
    (cond_89)? (  glob_param_3[0]) :
848
    (cond_93)? (  glob_param_5[0]) :
849
    (cond_102 && cond_105)? ( result_signals[0]) :
850
    (cond_107 && cond_33)? ( cflag_arith) :
851
    (cond_129 && cond_5)? ( `FALSE) :
852
    (cond_129 && ~cond_5)? ( cflag_arith) :
853
    (cond_133)? ( wr_mult_overflow) :
854
    (cond_136 && cond_105)? ( result_signals[0]) :
855
    (cond_139)? ( cflag_arith) :
856
    (cond_142)? ( wr_mult_overflow) :
857
    (cond_145)? ( cflag_arith) :
858
    (cond_216)? (  result2[0]) :
859
    (cond_240)? (  task_eflags[0]) :
860
    (cond_272)? ( result_signals[1]) :
861
    (cond_273)? ( result_signals[0]) :
862
    (cond_274 && ~cond_5)? ( cflag_arith) :
863
    cflag;
864
assign idflag_to_reg =
865
    (cond_82 && cond_83)? ( glob_param_3[21]) :
866
    (cond_87)? ( glob_param_3[21]) :
867
    (cond_89 && cond_83)? ( glob_param_3[21]) :
868
    (cond_93 && cond_83)? ( glob_param_5[21]) :
869
    (cond_216 && cond_83)? ( result2[21]) :
870
    (cond_240)? ( task_eflags[21]) :
871
    idflag;
872
//======================================================== always
873
always @(posedge clk or negedge rst_n) begin
874
    if(rst_n == 1'b0) wr_task_rpl <= 2'd0;
875
    else              wr_task_rpl <= wr_task_rpl_to_reg;
876
end
877
//======================================================== sets
878
assign wr_make_esp_commit =
879
    (cond_12)? (`TRUE) :
880
    (cond_60)? (`TRUE) :
881
    (cond_62)? (`TRUE) :
882
    (cond_63)? (`TRUE) :
883
    (cond_76)? (`TRUE) :
884
    (cond_82)? (`TRUE) :
885
    (cond_98 && cond_99)? (`TRUE) :
886
    (cond_124 && cond_127)? (`TRUE) :
887
    (cond_131)? (`TRUE) :
888
    (cond_149)? (`TRUE) :
889
    (cond_174 && cond_175 && ~cond_9)? (`TRUE) :
890
    (cond_174 && ~cond_175)? (`TRUE) :
891
    (cond_182)? (`TRUE) :
892
    (cond_240)? (`TRUE) :
893
    (cond_255)? (`TRUE) :
894
    (cond_263 && cond_264)? (`TRUE) :
895
    1'd0;
896
assign wr_glob_param_3_value =
897
    (cond_240)? ( (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? glob_param_3 : glob_param_3 | 32'h00020000) :
898
    32'd0;
899
assign wr_seg_sel =
900
    (cond_67)? ( glob_param_1[15:0]) :
901
    (cond_70 && cond_71)? (          glob_param_1[15:0]) :
902
    (cond_174)? (          (wr_cmd == `CMD_CALL_2 || wr_cmd == `CMD_JMP || wr_cmd == `CMD_JMP_2 || wr_cmd == `CMD_int_2)? { glob_param_1[15:2], cpl } : glob_param_1[15:0]) :
903
    (cond_177)? (          { glob_param_1[15:2], glob_descriptor[`DESC_BITS_DPL] }) :
904
    (cond_179)? (          glob_param_1[15:0]) :
905
    (cond_180)? (          glob_param_1[15:0]) :
906
    (cond_244 && cond_251)? ( glob_param_1[15:0]) :
907
    16'd0;
908
assign wr_exception_finished =
909
    (cond_60)? (`TRUE) :
910
    (cond_62)? (`TRUE) :
911
    (cond_63)? (`TRUE) :
912
    (cond_255)? (`TRUE) :
913
    1'd0;
914
assign wr_seg_cache_mask =
915
    (cond_67 && cond_68)? ( `DESC_MASK_G | `DESC_MASK_D_B | `DESC_MASK_AVL | `DESC_MASK_LIMIT | `DESC_MASK_DPL | `DESC_MASK_TYPE) :
916
    64'd0;
917
assign write_seg_cache =
918
    (cond_67 && cond_64)? (`TRUE) :
919
    (cond_67 && cond_68)? (`TRUE) :
920
    (cond_67 && cond_69)? (`TRUE) :
921
    (cond_70 && cond_71 && cond_72 && ~cond_9)? (`TRUE) :
922
    (cond_70 && cond_71 && cond_74)? (`TRUE) :
923
    (cond_174 && cond_175 && ~cond_9)? (`TRUE) :
924
    (cond_174 && ~cond_175)? (`TRUE) :
925
    (cond_177 && cond_175 && ~cond_9)? (`TRUE) :
926
    (cond_177 && ~cond_175)? (`TRUE) :
927
    (cond_179 && cond_175 && ~cond_9)? (`TRUE) :
928
    (cond_179 && ~cond_175)? (`TRUE) :
929
    (cond_180 && cond_181 && ~cond_9)? (`TRUE) :
930
    (cond_180 && ~cond_181)? (`TRUE) :
931
    (cond_244 && cond_246 && ~cond_9)? (`TRUE) :
932
    (cond_244 && cond_251)? (`TRUE) :
933
    1'd0;
934
assign wr_seg_rpl =
935
    (cond_67 && cond_64)? (      2'd3) :
936
    (cond_67 && cond_68)? (      2'd0) :
937
    (cond_67 && cond_69)? ( glob_param_1[1:0]) :
938
    (cond_70 && cond_71)? (          glob_param_1[1:0]) :
939
    (cond_174)? (          (wr_cmd == `CMD_CALL_2 || wr_cmd == `CMD_JMP || wr_cmd == `CMD_JMP_2 || wr_cmd == `CMD_int_2)? cpl : glob_param_1[1:0]) :
940
    (cond_177)? (          glob_descriptor[`DESC_BITS_DPL]) :
941
    (cond_179)? (          glob_param_1[1:0]) :
942
    (cond_180)? (          glob_param_1[1:0]) :
943
    (cond_244 && cond_251 && cond_64)? (      2'd3) :
944
    2'd0;
945
assign wr_debug_trap_clear =
946
    (cond_57)? (`TRUE) :
947
    (cond_225)? (`TRUE) :
948
    1'd0;
949
assign write_length_word =
950
    (cond_23)? (`TRUE) :
951
    (cond_39)? (`TRUE) :
952
    (cond_109)? (`TRUE) :
953
    (cond_258 && cond_259)? (`TRUE) :
954
    1'd0;
955
assign wr_validate_seg_regs =
956
    (cond_182)? (`TRUE) :
957
    1'd0;
958
assign wr_glob_param_3_set =
959
    (cond_240)? (`TRUE) :
960
    1'd0;
961
assign wr_waiting =
962
    (cond_0 && cond_1)? (`TRUE) :
963
    (cond_8 && cond_9)? (`TRUE) :
964
    (cond_11 && cond_9)? (`TRUE) :
965
    (cond_14 && cond_9)? (`TRUE) :
966
    (cond_18 && cond_9)? (`TRUE) :
967
    (cond_19 && cond_9)? (`TRUE) :
968
    (cond_21 && cond_9)? (`TRUE) :
969
    (cond_23 && cond_1)? (`TRUE) :
970
    (cond_24 && cond_1)? (`TRUE) :
971
    (cond_37 && cond_1)? (`TRUE) :
972
    (cond_39 && cond_5 && cond_1)? (`TRUE) :
973
    (cond_54 && cond_9)? (`TRUE) :
974
    (cond_55 && cond_9)? (`TRUE) :
975
    (cond_65 && cond_9)? (`TRUE) :
976
    (cond_70 && cond_71 && cond_72 && cond_9)? (`TRUE) :
977
    (cond_78 && cond_79 && cond_1)? (`TRUE) :
978
    (cond_98 && cond_1)? (`TRUE) :
979
    (cond_102 && cond_103 && cond_1)? (`TRUE) :
980
    (cond_109 && cond_1)? (`TRUE) :
981
    (cond_124 && cond_9)? (`TRUE) :
982
    (cond_128 && cond_1)? (`TRUE) :
983
    (cond_129 && cond_5 && cond_1)? (`TRUE) :
984
    (cond_130 && cond_9)? (`TRUE) :
985
    (cond_132 && cond_9)? (`TRUE) :
986
    (cond_136 && cond_103 && cond_1)? (`TRUE) :
987
    (cond_139 && cond_140)? (`TRUE) :
988
    (cond_156 && cond_1)? (`TRUE) :
989
    (cond_158 && cond_9)? (`TRUE) :
990
    (cond_169 && cond_1)? (`TRUE) :
991
    (cond_174 && cond_175 && cond_9)? (`TRUE) :
992
    (cond_177 && cond_175 && cond_9)? (`TRUE) :
993
    (cond_179 && cond_175 && cond_9)? (`TRUE) :
994
    (cond_180 && cond_181 && cond_9)? (`TRUE) :
995
    (cond_189 && cond_9)? (`TRUE) :
996
    (cond_192 && cond_33 && cond_9)? (`TRUE) :
997
    (cond_196 && ~cond_197 && cond_33 && cond_9)? (`TRUE) :
998
    (cond_199 && ~cond_200 && cond_33 && cond_201)? (`TRUE) :
999
    (cond_202 && cond_9)? (`TRUE) :
1000
    (cond_210 && cond_211 && cond_201)? (`TRUE) :
1001
    (cond_212 && cond_1)? (`TRUE) :
1002
    (cond_227 && cond_228 && cond_9)? (`TRUE) :
1003
    (cond_230 && cond_9)? (`TRUE) :
1004
    (cond_231 && cond_9)? (`TRUE) :
1005
    (cond_232 && cond_233 && cond_9)? (`TRUE) :
1006
    (cond_234 && cond_235 && cond_9)? (`TRUE) :
1007
    (cond_238 && cond_239 && cond_9)? (`TRUE) :
1008
    (cond_244 && cond_246 && cond_9)? (`TRUE) :
1009
    (cond_253 && cond_254 && cond_9)? (`TRUE) :
1010
    (cond_258 && cond_9)? (`TRUE) :
1011
    (cond_261 && cond_33 && cond_9)? (`TRUE) :
1012
    1'd0;
1013
assign wr_inhibit_interrupts_and_debug =
1014
    (cond_44 && cond_45)? (`TRUE) :
1015
    (cond_76 && cond_77)? (`TRUE) :
1016
    1'd0;
1017
assign write_system_word =
1018
    (cond_230)? (  tr_cache[`DESC_BITS_TYPE] <= 4'd3) :
1019
    (cond_231)? (  tr_cache[`DESC_BITS_TYPE] <= 4'd3) :
1020
    (cond_232 && cond_233)? (  tr_cache[`DESC_BITS_TYPE] <= 4'd3 || wr_cmdex > `CMDEX_task_switch_2_STEP_7) :
1021
    (cond_234 && cond_235)? (`TRUE) :
1022
    1'd0;
1023
assign wr_new_push_ss_fault_check =
1024
    (cond_14)? (`TRUE) :
1025
    (cond_18)? (`TRUE) :
1026
    (cond_19)? (`TRUE) :
1027
    (cond_54)? (`TRUE) :
1028
    (cond_55)? (`TRUE) :
1029
    1'd0;
1030
assign write_system_dword =
1031
    (cond_230)? ( tr_cache[`DESC_BITS_TYPE] > 4'd3) :
1032
    (cond_231)? ( tr_cache[`DESC_BITS_TYPE] > 4'd3) :
1033
    (cond_232 && cond_233)? ( tr_cache[`DESC_BITS_TYPE] > 4'd3  && wr_cmdex <= `CMDEX_task_switch_2_STEP_7) :
1034
    1'd0;
1035
assign wr_req_reset_pr =
1036
    (cond_4 && cond_5)? (`TRUE) :
1037
    (cond_12)? (`TRUE) :
1038
    (cond_25 && cond_5)? (`TRUE) :
1039
    (cond_38)? (`TRUE) :
1040
    (cond_60)? (`TRUE) :
1041
    (cond_62)? (`TRUE) :
1042
    (cond_63)? (`TRUE) :
1043
    (cond_82)? (`TRUE) :
1044
    (cond_88)? (`TRUE) :
1045
    (cond_89)? (`TRUE) :
1046
    (cond_110)? (`TRUE) :
1047
    (cond_113)? (`TRUE) :
1048
    (cond_143 && cond_5)? (`TRUE) :
1049
    (cond_149)? (`TRUE) :
1050
    (cond_178)? (`TRUE) :
1051
    (cond_182)? (`TRUE) :
1052
    (cond_204)? (`TRUE) :
1053
    (cond_255)? (`TRUE) :
1054
    1'd0;
1055
assign write_seg_sel =
1056
    (cond_67 && cond_64)? (`TRUE) :
1057
    (cond_67 && cond_68)? (`TRUE) :
1058
    (cond_67 && cond_69)? (`TRUE) :
1059
    (cond_70 && cond_71 && cond_72 && ~cond_9)? (`TRUE) :
1060
    (cond_70 && cond_71 && cond_74)? (`TRUE) :
1061
    (cond_174 && cond_175 && ~cond_9)? (`TRUE) :
1062
    (cond_174 && ~cond_175)? (`TRUE) :
1063
    (cond_177 && cond_175 && ~cond_9)? (`TRUE) :
1064
    (cond_177 && ~cond_175)? (`TRUE) :
1065
    (cond_179 && cond_175 && ~cond_9)? (`TRUE) :
1066
    (cond_179 && ~cond_175)? (`TRUE) :
1067
    (cond_180 && cond_181 && ~cond_9)? (`TRUE) :
1068
    (cond_180 && ~cond_181)? (`TRUE) :
1069
    1'd0;
1070
assign wr_glob_param_1_set =
1071
    (cond_240)? (`TRUE) :
1072
    (cond_242)? (`TRUE) :
1073
    (cond_244 && cond_246 && ~cond_9 && cond_245)? (`TRUE) :
1074
    (cond_244 && cond_246 && ~cond_9 && cond_247)? (`TRUE) :
1075
    (cond_244 && cond_246 && ~cond_9 && cond_248)? (`TRUE) :
1076
    (cond_244 && cond_246 && ~cond_9 && cond_249)? (`TRUE) :
1077
    (cond_244 && cond_246 && ~cond_9 && cond_250)? (`TRUE) :
1078
    (cond_244 && cond_251 && cond_245)? (`TRUE) :
1079
    (cond_244 && cond_251 && cond_247)? (`TRUE) :
1080
    (cond_244 && cond_251 && cond_248)? (`TRUE) :
1081
    (cond_244 && cond_251 && cond_249)? (`TRUE) :
1082
    (cond_244 && cond_251 && cond_250)? (`TRUE) :
1083
    (cond_244 && cond_252 && cond_245)? (`TRUE) :
1084
    (cond_244 && cond_252 && cond_247)? (`TRUE) :
1085
    (cond_244 && cond_252 && cond_248)? (`TRUE) :
1086
    (cond_244 && cond_252 && cond_249)? (`TRUE) :
1087
    (cond_244 && cond_252 && cond_250)? (`TRUE) :
1088
    1'd0;
1089
assign wr_glob_param_4_set =
1090
    (cond_240)? (`TRUE) :
1091
    1'd0;
1092
assign write_stack_virtual =
1093
    (cond_8 && cond_10)? (`TRUE) :
1094
    (cond_11 && cond_10)? (`TRUE) :
1095
    (cond_21 && cond_10)? (`TRUE) :
1096
    (cond_65 && cond_10)? (`TRUE) :
1097
    (cond_124 && cond_10)? (`TRUE) :
1098
    (cond_130 && cond_10)? (`TRUE) :
1099
    (cond_132 && cond_10)? (`TRUE) :
1100
    (cond_158 && cond_10)? (`TRUE) :
1101
    (cond_189 && cond_10)? (`TRUE) :
1102
    (cond_202 && cond_10)? (`TRUE) :
1103
    (cond_253 && cond_254 && cond_10)? (`TRUE) :
1104
    1'd0;
1105
assign wr_exception_external_set =
1106
    (cond_161)? (`TRUE) :
1107
    1'd0;
1108
assign wr_system_linear =
1109
    (cond_230)? ( wr_task_switch_linear) :
1110
    (cond_231)? ( wr_task_switch_linear) :
1111
    (cond_232 && cond_233)? ( wr_task_switch_linear) :
1112
    (cond_234 && cond_235)? ( glob_desc_base) :
1113
    32'd0;
1114
assign wr_int_soft_int_ib =
1115
    (cond_159)? (`TRUE) :
1116
    1'd0;
1117
assign wr_error_code =
1118
    (cond_14)? ( (ss[`SELECTOR_BITS_RPL] != cpl)? `SELECTOR_FOR_CODE(ss) : 16'd0) :
1119
    (cond_18)? ( (glob_param_1[`SELECTOR_BITS_RPL] != cpl)? `SELECTOR_FOR_CODE(glob_param_1) : 16'd0) :
1120
    (cond_19)? ( (glob_param_1[`SELECTOR_BITS_RPL] != cpl)? `SELECTOR_FOR_CODE(glob_param_1) : 16'd0) :
1121
    (cond_54)? ( (glob_param_1[`SELECTOR_BITS_RPL] != cpl)? `SELECTOR_FOR_CODE(glob_param_1) : 16'd0) :
1122
    (cond_55)? ( (glob_param_1[`SELECTOR_BITS_RPL] != cpl)? `SELECTOR_FOR_CODE(glob_param_1) : 16'd0) :
1123
    16'd0;
1124
assign write_string_es_virtual =
1125
    (cond_192 && cond_33 && cond_193)? (`TRUE) :
1126
    (cond_196 && ~cond_197 && cond_33)? (`TRUE) :
1127
    (cond_261 && cond_33 && cond_193)? (`TRUE) :
1128
    1'd0;
1129
assign write_system_touch =
1130
    (cond_70 && cond_71 && cond_72 && ~cond_73)? (`TRUE) :
1131
    (cond_174 && cond_175)? (`TRUE) :
1132
    (cond_177 && cond_175)? (`TRUE) :
1133
    (cond_179 && cond_175)? (`TRUE) :
1134
    (cond_180 && cond_181)? (`TRUE) :
1135
    (cond_244 && cond_246)? (`TRUE) :
1136
    1'd0;
1137
assign write_virtual =
1138
    (cond_23)? (   wr_dst_is_memory) :
1139
    (cond_98)? (   wr_dst_is_memory) :
1140
    (cond_109)? (   wr_dst_is_memory) :
1141
    (cond_128)? (   wr_dst_is_memory) :
1142
    (cond_212)? (  wr_dst_is_memory) :
1143
    (cond_258)? (`TRUE) :
1144
    1'd0;
1145
assign wr_not_finished =
1146
    (cond_0)? (`TRUE) :
1147
    (cond_6)? (`TRUE) :
1148
    (cond_7)? (`TRUE) :
1149
    (cond_8)? (`TRUE) :
1150
    (cond_11)? (`TRUE) :
1151
    (cond_13)? (`TRUE) :
1152
    (cond_14)? (`TRUE) :
1153
    (cond_16)? (`TRUE) :
1154
    (cond_17)? (`TRUE) :
1155
    (cond_18)? (`TRUE) :
1156
    (cond_19)? (`TRUE) :
1157
    (cond_26)? (`TRUE) :
1158
    (cond_28)? (`TRUE) :
1159
    (cond_30)? (`TRUE) :
1160
    (cond_31)? (`TRUE) :
1161
    (cond_32 && cond_36)? (`TRUE) :
1162
    (cond_41)? (`TRUE) :
1163
    (cond_43)? (`TRUE) :
1164
    (cond_52)? (`TRUE) :
1165
    (cond_53)? (`TRUE) :
1166
    (cond_54)? (`TRUE) :
1167
    (cond_55)? (`TRUE) :
1168
    (cond_57)? (`TRUE) :
1169
    (cond_58)? (`TRUE) :
1170
    (cond_59)? (`TRUE) :
1171
    (cond_61)? (`TRUE) :
1172
    (cond_65)? (`TRUE) :
1173
    (cond_67)? (`TRUE) :
1174
    (cond_70)? (`TRUE) :
1175
    (cond_75)? (`TRUE) :
1176
    (cond_80)? (`TRUE) :
1177
    (cond_84)? (`TRUE) :
1178
    (cond_85)? (`TRUE) :
1179
    (cond_86)? (`TRUE) :
1180
    (cond_87)? (`TRUE) :
1181
    (cond_92)? (`TRUE) :
1182
    (cond_93)? (`TRUE) :
1183
    (cond_97)? (`TRUE) :
1184
    (cond_106)? (`TRUE) :
1185
    (cond_107 && cond_36)? (`TRUE) :
1186
    (cond_119 && cond_120)? (`TRUE) :
1187
    (cond_119 && ~cond_123)? (`TRUE) :
1188
    (cond_124 && cond_126)? (`TRUE) :
1189
    (cond_130)? (`TRUE) :
1190
    (cond_132)? (`TRUE) :
1191
    (cond_137)? (`TRUE) :
1192
    (cond_147)? (`TRUE) :
1193
    (cond_148)? (`TRUE) :
1194
    (cond_150)? (`TRUE) :
1195
    (cond_151)? (`TRUE) :
1196
    (cond_152 && cond_154)? (`TRUE) :
1197
    (cond_156)? (`TRUE) :
1198
    (cond_159)? (`TRUE) :
1199
    (cond_160)? (`TRUE) :
1200
    (cond_161)? (`TRUE) :
1201
    (cond_162 && cond_163)? (`TRUE) :
1202
    (cond_167 && ~cond_168)? (`TRUE) :
1203
    (cond_170)? (`TRUE) :
1204
    (cond_174)? (`TRUE) :
1205
    (cond_177)? (`TRUE) :
1206
    (cond_179)? (`TRUE) :
1207
    (cond_180)? (`TRUE) :
1208
    (cond_183)? (`TRUE) :
1209
    (cond_184)? (`TRUE) :
1210
    (cond_185)? (`TRUE) :
1211
    (cond_186)? (`TRUE) :
1212
    (cond_187)? (`TRUE) :
1213
    (cond_188)? (`TRUE) :
1214
    (cond_189)? (`TRUE) :
1215
    (cond_190)? (`TRUE) :
1216
    (cond_191)? (`TRUE) :
1217
    (cond_192 && cond_33 && ~cond_9 && ~cond_194 && cond_34)? (`TRUE) :
1218
    (cond_196 && cond_197)? (`TRUE) :
1219
    (cond_196 && ~cond_197 && cond_33 && ~cond_9 && ~cond_198)? (`TRUE) :
1220
    (cond_199 && cond_200)? (`TRUE) :
1221
    (cond_199 && ~cond_200 && cond_33 && ~cond_201 && ~cond_198)? (`TRUE) :
1222
    (cond_203)? (`TRUE) :
1223
    (cond_205)? (`TRUE) :
1224
    (cond_206)? (`TRUE) :
1225
    (cond_207)? (`TRUE) :
1226
    (cond_208)? (`TRUE) :
1227
    (cond_209)? (`TRUE) :
1228
    (cond_210 && ~cond_211)? (`TRUE) :
1229
    (cond_222)? (`TRUE) :
1230
    (cond_225)? (`TRUE) :
1231
    (cond_226)? (`TRUE) :
1232
    (cond_227)? (`TRUE) :
1233
    (cond_229)? (`TRUE) :
1234
    (cond_230)? (`TRUE) :
1235
    (cond_231)? (`TRUE) :
1236
    (cond_232)? (`TRUE) :
1237
    (cond_234)? (`TRUE) :
1238
    (cond_236)? (`TRUE) :
1239
    (cond_237)? (`TRUE) :
1240
    (cond_238)? (`TRUE) :
1241
    (cond_240)? (`TRUE) :
1242
    (cond_242)? (`TRUE) :
1243
    (cond_244)? (`TRUE) :
1244
    (cond_253)? (`TRUE) :
1245
    (cond_258 && cond_259)? (`TRUE) :
1246
    (cond_261 && cond_33 && ~cond_9 && ~cond_194 && cond_34)? (`TRUE) :
1247
    (cond_263 && ~cond_264)? (`TRUE) :
1248
    (cond_266)? (`TRUE) :
1249
    1'd0;
1250
assign wr_int_soft_int =
1251
    (cond_159)? (`TRUE) :
1252
    (cond_160)? (`TRUE) :
1253
    (cond_162 && cond_163)? (`TRUE) :
1254
    1'd0;
1255
assign wr_int =
1256
    (cond_159)? (`TRUE) :
1257
    (cond_160)? (`TRUE) :
1258
    (cond_161)? (`TRUE) :
1259
    (cond_162 && cond_163)? (`TRUE) :
1260
    1'd0;
1261
assign wr_debug_task_trigger =
1262
    (cond_255 && cond_256)? (`TRUE) :
1263
    1'd0;
1264
assign wr_int_vector =
1265
    (cond_159)? ( wr_decoder[15:8]) :
1266
    (cond_160)? ( `EXCEPTION_BP) :
1267
    (cond_161)? ( `EXCEPTION_DB) :
1268
    (cond_162 && cond_163)? ( `EXCEPTION_OF) :
1269
    8'd0;
1270
assign wr_string_in_progress =
1271
    (cond_32 && cond_36)? (`TRUE) :
1272
    (cond_107 && cond_36)? (`TRUE) :
1273
    (cond_152 && cond_154)? (`TRUE) :
1274
    (cond_192 && cond_33 && ~cond_9 && ~cond_194 && cond_34)? (`TRUE) :
1275
    (cond_196 && ~cond_197 && cond_33 && ~cond_9 && ~cond_198)? (`TRUE) :
1276
    (cond_199 && ~cond_200 && cond_33 && ~cond_201 && ~cond_198)? (`TRUE) :
1277
    (cond_261 && cond_33 && ~cond_9 && ~cond_194 && cond_34)? (`TRUE) :
1278
    1'd0;
1279
assign write_regrm =
1280
    (cond_0)? (             wr_dst_is_rm) :
1281
    (cond_2 && cond_3)? (`TRUE) :
1282
    (cond_23)? (     wr_dst_is_rm) :
1283
    (cond_24)? (         wr_dst_is_rm) :
1284
    (cond_37)? (         wr_dst_is_implicit_reg || wr_dst_is_rm) :
1285
    (cond_39 && cond_5)? (         wr_dst_is_rm) :
1286
    (cond_40)? (`TRUE) :
1287
    (cond_42)? (`TRUE) :
1288
    (cond_78 && cond_79)? (         wr_dst_is_rm) :
1289
    (cond_96)? (`TRUE) :
1290
    (cond_98)? (     wr_dst_is_rm) :
1291
    (cond_102 && cond_103)? (         wr_dst_is_rm) :
1292
    (cond_109)? (     wr_dst_is_rm) :
1293
    (cond_112)? (`TRUE) :
1294
    (cond_128)? (     wr_dst_is_rm) :
1295
    (cond_129 && cond_5)? (             wr_dst_is_rm) :
1296
    (cond_133)? ( wr_dst_is_reg) :
1297
    (cond_136 && cond_103)? (         wr_dst_is_rm) :
1298
    (cond_139 && cond_141)? (        wr_dst_is_reg || wr_dst_is_rm) :
1299
    (cond_142)? ( wr_dst_is_reg) :
1300
    (cond_155)? (`TRUE) :
1301
    (cond_156)? (             wr_dst_is_rm) :
1302
    (cond_157)? (`TRUE) :
1303
    (cond_169)? (         wr_dst_is_rm) :
1304
    (cond_171 && cond_172)? (`TRUE) :
1305
    (cond_212)? (    wr_dst_is_reg || wr_dst_is_rm || wr_dst_is_implicit_reg) :
1306
    (cond_257)? (`TRUE) :
1307
    (cond_262)? (`TRUE) :
1308
    (cond_265)? (`TRUE) :
1309
    (cond_274 && ~cond_5)? (`TRUE) :
1310
    1'd0;
1311
assign wr_req_reset_rd =
1312
    (cond_4 && cond_5)? (`TRUE) :
1313
    (cond_12)? (`TRUE) :
1314
    (cond_25 && cond_5)? (`TRUE) :
1315
    (cond_27)? (`TRUE) :
1316
    (cond_29)? (`TRUE) :
1317
    (cond_32 && cond_35)? (`TRUE) :
1318
    (cond_38)? (`TRUE) :
1319
    (cond_42)? (`TRUE) :
1320
    (cond_44)? (`TRUE) :
1321
    (cond_60)? (`TRUE) :
1322
    (cond_62)? (`TRUE) :
1323
    (cond_63)? (`TRUE) :
1324
    (cond_76)? (`TRUE) :
1325
    (cond_82)? (`TRUE) :
1326
    (cond_88)? (`TRUE) :
1327
    (cond_89)? (`TRUE) :
1328
    (cond_107 && cond_108)? (`TRUE) :
1329
    (cond_110)? (`TRUE) :
1330
    (cond_113)? (`TRUE) :
1331
    (cond_119 && cond_123)? (`TRUE) :
1332
    (cond_138)? (`TRUE) :
1333
    (cond_143 && cond_5)? (`TRUE) :
1334
    (cond_146)? (`TRUE) :
1335
    (cond_149)? (`TRUE) :
1336
    (cond_152 && cond_153)? (`TRUE) :
1337
    (cond_162 && ~cond_163)? (`TRUE) :
1338
    (cond_164)? (`TRUE) :
1339
    (cond_167 && cond_168)? (`TRUE) :
1340
    (cond_178)? (`TRUE) :
1341
    (cond_182)? (`TRUE) :
1342
    (cond_192 && cond_33 && ~cond_9 && cond_194)? (`TRUE) :
1343
    (cond_192 && cond_195)? (`TRUE) :
1344
    (cond_196 && ~cond_197 && cond_33 && ~cond_9 && cond_198)? (`TRUE) :
1345
    (cond_196 && ~cond_197 && cond_195)? (`TRUE) :
1346
    (cond_199 && ~cond_200 && cond_33 && ~cond_201 && cond_198)? (`TRUE) :
1347
    (cond_199 && ~cond_200 && cond_195)? (`TRUE) :
1348
    (cond_204)? (`TRUE) :
1349
    (cond_210 && cond_211 && ~cond_201)? (`TRUE) :
1350
    (cond_216)? (`TRUE) :
1351
    (cond_255)? (`TRUE) :
1352
    (cond_261 && cond_33 && ~cond_9 && cond_194)? (`TRUE) :
1353
    (cond_261 && cond_195)? (`TRUE) :
1354
    (cond_270)? (`TRUE) :
1355
    1'd0;
1356
assign wr_string_gp_fault_check =
1357
    (cond_192)? (`TRUE) :
1358
    (cond_261)? (`TRUE) :
1359
    1'd0;
1360
assign write_rmw_system_dword =
1361
    (cond_227 && cond_228)? (`TRUE) :
1362
    (cond_238 && cond_239)? (`TRUE) :
1363
    1'd0;
1364
assign write_seg_cache_valid =
1365
    (cond_67 && cond_64)? (`TRUE) :
1366
    (cond_67 && cond_68)? (`TRUE) :
1367
    (cond_67 && cond_69)? (`TRUE) :
1368
    (cond_70 && cond_71 && cond_72 && ~cond_9)? (`TRUE) :
1369
    (cond_70 && cond_71 && cond_74)? (`TRUE) :
1370
    (cond_174 && cond_175 && ~cond_9)? (`TRUE) :
1371
    (cond_174 && ~cond_175)? (`TRUE) :
1372
    (cond_177 && cond_175 && ~cond_9)? (`TRUE) :
1373
    (cond_177 && ~cond_175)? (`TRUE) :
1374
    (cond_179 && cond_175 && ~cond_9)? (`TRUE) :
1375
    (cond_179 && ~cond_175)? (`TRUE) :
1376
    (cond_180 && cond_181 && ~cond_9)? (`TRUE) :
1377
    (cond_180 && ~cond_181)? (`TRUE) :
1378
    (cond_244 && cond_246 && ~cond_9)? (`TRUE) :
1379
    (cond_244 && cond_251)? (`TRUE) :
1380
    1'd0;
1381
assign write_rmw_virtual =
1382
    (cond_0)? (       wr_dst_is_memory) :
1383
    (cond_24)? (   wr_dst_is_memory) :
1384
    (cond_37)? (   wr_dst_is_memory) :
1385
    (cond_39 && cond_5)? (   wr_dst_is_memory) :
1386
    (cond_78 && cond_79)? (   wr_dst_is_memory) :
1387
    (cond_102 && cond_103)? (   wr_dst_is_memory) :
1388
    (cond_129 && cond_5)? (       wr_dst_is_memory) :
1389
    (cond_136 && cond_103)? (   wr_dst_is_memory) :
1390
    (cond_139 && cond_141)? (  wr_dst_is_memory) :
1391
    (cond_156)? (       wr_dst_is_memory) :
1392
    (cond_169)? (   wr_dst_is_memory) :
1393
    1'd0;
1394
assign wr_glob_param_4_value =
1395
    (cond_240)? ( { task_fs, task_gs }) :
1396
    32'd0;
1397
assign write_length_dword =
1398
    (cond_258 && cond_260)? (`TRUE) :
1399
    1'd0;
1400
assign tlbflushall_do =
1401
    (cond_110 && cond_111)? (`TRUE) :
1402
    (cond_113 && cond_114 && cond_115)? (`TRUE) :
1403
    (cond_113 && cond_118)? (`TRUE) :
1404
    (cond_240 && cond_241)? (`TRUE) :
1405
    1'd0;
1406
assign wr_push_length_word =
1407
    (cond_18)? (  ~(glob_param_3[19])) :
1408
    (cond_19)? (  ~(glob_param_3[19])) :
1409
    (cond_21)? (`TRUE) :
1410
    (cond_54)? (  ~(glob_param_3[19])) :
1411
    (cond_55)? ( ~(glob_param_3[19])) :
1412
    (cond_65)? (`TRUE) :
1413
    (cond_189)? (  ~(glob_param_1[19])) :
1414
    (cond_253)? (  ~(glob_param_3[17])) :
1415
    1'd0;
1416
assign wr_system_dword =
1417
    (cond_227 && cond_228)? ( glob_param_2 & 32'hFFFFFDFF) :
1418
    (cond_230)? (  (glob_param_1[`TASK_SWITCH_SOURCE_BITS] == `TASK_SWITCH_FROM_INT)? exc_eip : eip) :
1419
    (cond_231)? (  result_push & ((glob_descriptor[`DESC_BITS_TYPE] == `DESC_TSS_BUSY_286 || glob_descriptor[`DESC_BITS_TYPE] == `DESC_TSS_BUSY_386)? 32'hFFFFBFFF : 32'hFFFFFFFF)) :
1420
    (cond_232 && cond_233)? (  result2) :
1421
    (cond_234 && cond_235)? (  { 16'd0, tr }) :
1422
    (cond_238 && cond_239)? ( result2 | 32'h00000200) :
1423
    32'd0;
1424
assign wr_seg_cache_valid =
1425
    (cond_67 && cond_64)? (  `TRUE) :
1426
    (cond_67 && cond_68)? (  `TRUE) :
1427
    (cond_70 && cond_71)? (  `TRUE) :
1428
    (cond_174)? (  `TRUE) :
1429
    (cond_177)? (  `TRUE) :
1430
    (cond_179)? (  `TRUE) :
1431
    (cond_180)? (  `TRUE) :
1432
    (cond_244 && cond_246 && ~cond_9)? (  `TRUE) :
1433
    (cond_244 && cond_251)? (  `TRUE) :
1434
    1'd0;
1435
assign wr_inhibit_interrupts =
1436
    (cond_220 && cond_221)? (`TRUE) :
1437
    1'd0;
1438
assign write_seg_rpl =
1439
    (cond_67 && cond_64)? (`TRUE) :
1440
    (cond_67 && cond_68)? (`TRUE) :
1441
    (cond_67 && cond_69)? (`TRUE) :
1442
    (cond_70 && cond_71 && cond_72 && ~cond_9)? (`TRUE) :
1443
    (cond_70 && cond_71 && cond_74)? (`TRUE) :
1444
    (cond_174 && cond_175 && ~cond_9)? (`TRUE) :
1445
    (cond_174 && ~cond_175)? (`TRUE) :
1446
    (cond_177 && cond_175 && ~cond_9)? (`TRUE) :
1447
    (cond_177 && ~cond_175)? (`TRUE) :
1448
    (cond_179 && cond_175 && ~cond_9)? (`TRUE) :
1449
    (cond_179 && ~cond_175)? (`TRUE) :
1450
    (cond_180 && cond_181 && ~cond_9)? (`TRUE) :
1451
    (cond_180 && ~cond_181)? (`TRUE) :
1452
    (cond_244 && cond_251 && cond_64)? (`TRUE) :
1453
    1'd0;
1454
assign wr_req_reset_dec =
1455
    (cond_4 && cond_5)? (`TRUE) :
1456
    (cond_12)? (`TRUE) :
1457
    (cond_25 && cond_5)? (`TRUE) :
1458
    (cond_38)? (`TRUE) :
1459
    (cond_60)? (`TRUE) :
1460
    (cond_62)? (`TRUE) :
1461
    (cond_63)? (`TRUE) :
1462
    (cond_82)? (`TRUE) :
1463
    (cond_88)? (`TRUE) :
1464
    (cond_89)? (`TRUE) :
1465
    (cond_110)? (`TRUE) :
1466
    (cond_113)? (`TRUE) :
1467
    (cond_143 && cond_5)? (`TRUE) :
1468
    (cond_149)? (`TRUE) :
1469
    (cond_178)? (`TRUE) :
1470
    (cond_182)? (`TRUE) :
1471
    (cond_204)? (`TRUE) :
1472
    (cond_255)? (`TRUE) :
1473
    1'd0;
1474
assign wr_req_reset_exe =
1475
    (cond_4 && cond_5)? (`TRUE) :
1476
    (cond_12)? (`TRUE) :
1477
    (cond_25 && cond_5)? (`TRUE) :
1478
    (cond_27)? (`TRUE) :
1479
    (cond_29)? (`TRUE) :
1480
    (cond_32 && cond_35)? (`TRUE) :
1481
    (cond_38)? (`TRUE) :
1482
    (cond_42)? (`TRUE) :
1483
    (cond_44)? (`TRUE) :
1484
    (cond_60)? (`TRUE) :
1485
    (cond_62)? (`TRUE) :
1486
    (cond_63)? (`TRUE) :
1487
    (cond_76)? (`TRUE) :
1488
    (cond_82)? (`TRUE) :
1489
    (cond_88)? (`TRUE) :
1490
    (cond_89)? (`TRUE) :
1491
    (cond_107 && cond_108)? (`TRUE) :
1492
    (cond_110)? (`TRUE) :
1493
    (cond_113)? (`TRUE) :
1494
    (cond_119 && cond_123)? (`TRUE) :
1495
    (cond_138)? (`TRUE) :
1496
    (cond_143 && cond_5)? (`TRUE) :
1497
    (cond_146)? (`TRUE) :
1498
    (cond_149)? (`TRUE) :
1499
    (cond_152 && cond_153)? (`TRUE) :
1500
    (cond_162 && ~cond_163)? (`TRUE) :
1501
    (cond_164)? (`TRUE) :
1502
    (cond_167 && cond_168)? (`TRUE) :
1503
    (cond_178)? (`TRUE) :
1504
    (cond_182)? (`TRUE) :
1505
    (cond_192 && cond_33 && ~cond_9 && cond_194)? (`TRUE) :
1506
    (cond_192 && cond_195)? (`TRUE) :
1507
    (cond_196 && ~cond_197 && cond_33 && ~cond_9 && cond_198)? (`TRUE) :
1508
    (cond_196 && ~cond_197 && cond_195)? (`TRUE) :
1509
    (cond_199 && ~cond_200 && cond_33 && ~cond_201 && cond_198)? (`TRUE) :
1510
    (cond_199 && ~cond_200 && cond_195)? (`TRUE) :
1511
    (cond_204)? (`TRUE) :
1512
    (cond_210 && cond_211 && ~cond_201)? (`TRUE) :
1513
    (cond_216)? (`TRUE) :
1514
    (cond_255)? (`TRUE) :
1515
    (cond_261 && cond_33 && ~cond_9 && cond_194)? (`TRUE) :
1516
    (cond_261 && cond_195)? (`TRUE) :
1517
    (cond_270)? (`TRUE) :
1518
    1'd0;
1519
assign wr_push_length_dword =
1520
    (cond_18)? ( glob_param_3[19]) :
1521
    (cond_19)? ( glob_param_3[19]) :
1522
    (cond_54)? ( glob_param_3[19]) :
1523
    (cond_55)? ( glob_param_3[19]) :
1524
    (cond_189)? ( glob_param_1[19]) :
1525
    (cond_253)? ( glob_param_3[17]) :
1526
    1'd0;
1527
assign wr_one_cycle_wait =
1528
    (cond_8)? (`TRUE) :
1529
    (cond_11)? (`TRUE) :
1530
    (cond_14)? (`TRUE) :
1531
    (cond_18)? (`TRUE) :
1532
    (cond_19)? (`TRUE) :
1533
    (cond_21)? (`TRUE) :
1534
    (cond_54)? (`TRUE) :
1535
    (cond_55)? (`TRUE) :
1536
    (cond_65)? (`TRUE) :
1537
    (cond_124)? (`TRUE) :
1538
    (cond_130)? (`TRUE) :
1539
    (cond_132)? (`TRUE) :
1540
    (cond_158)? (`TRUE) :
1541
    (cond_189)? (`TRUE) :
1542
    (cond_192 && cond_33)? (`TRUE) :
1543
    (cond_202)? (`TRUE) :
1544
    (cond_253 && cond_254)? (`TRUE) :
1545
    (cond_261 && cond_33)? (`TRUE) :
1546
    1'd0;
1547
assign wr_regrm_dword =
1548
    (cond_112)? (`TRUE) :
1549
    (cond_265)? (`TRUE) :
1550
    1'd0;
1551
assign write_io =
1552
    (cond_199 && ~cond_200 && cond_33)? (`TRUE) :
1553
    (cond_210 && cond_211)? (`TRUE) :
1554
    1'd0;
1555
assign write_eax =
1556
    (cond_139 && cond_141)? (          wr_dst_is_eax) :
1557
    (cond_212)? (      wr_dst_is_eax) :
1558
    (cond_271)? (`TRUE) :
1559
    1'd0;
1560
assign write_new_stack_virtual =
1561
    (cond_14 && cond_15)? (`TRUE) :
1562
    (cond_18 && cond_15)? (`TRUE) :
1563
    (cond_19 && cond_15)? (`TRUE) :
1564
    (cond_54 && cond_15)? (`TRUE) :
1565
    (cond_55 && cond_15)? (`TRUE) :
1566
    1'd0;
1567
assign wr_req_reset_micro =
1568
    (cond_4 && cond_5)? (`TRUE) :
1569
    (cond_12)? (`TRUE) :
1570
    (cond_25 && cond_5)? (`TRUE) :
1571
    (cond_27)? (`TRUE) :
1572
    (cond_29)? (`TRUE) :
1573
    (cond_32 && cond_35)? (`TRUE) :
1574
    (cond_38)? (`TRUE) :
1575
    (cond_42)? (`TRUE) :
1576
    (cond_44)? (`TRUE) :
1577
    (cond_60)? (`TRUE) :
1578
    (cond_62)? (`TRUE) :
1579
    (cond_63)? (`TRUE) :
1580
    (cond_76)? (`TRUE) :
1581
    (cond_82)? (`TRUE) :
1582
    (cond_88)? (`TRUE) :
1583
    (cond_89)? (`TRUE) :
1584
    (cond_107 && cond_108)? (`TRUE) :
1585
    (cond_110)? (`TRUE) :
1586
    (cond_113)? (`TRUE) :
1587
    (cond_119 && cond_123)? (`TRUE) :
1588
    (cond_138)? (`TRUE) :
1589
    (cond_143 && cond_5)? (`TRUE) :
1590
    (cond_146)? (`TRUE) :
1591
    (cond_149)? (`TRUE) :
1592
    (cond_152 && cond_153)? (`TRUE) :
1593
    (cond_162 && ~cond_163)? (`TRUE) :
1594
    (cond_164)? (`TRUE) :
1595
    (cond_167 && cond_168)? (`TRUE) :
1596
    (cond_178)? (`TRUE) :
1597
    (cond_182)? (`TRUE) :
1598
    (cond_192 && cond_33 && ~cond_9 && cond_194)? (`TRUE) :
1599
    (cond_192 && cond_195)? (`TRUE) :
1600
    (cond_196 && ~cond_197 && cond_33 && ~cond_9 && cond_198)? (`TRUE) :
1601
    (cond_196 && ~cond_197 && cond_195)? (`TRUE) :
1602
    (cond_199 && ~cond_200 && cond_33 && ~cond_201 && cond_198)? (`TRUE) :
1603
    (cond_199 && ~cond_200 && cond_195)? (`TRUE) :
1604
    (cond_204)? (`TRUE) :
1605
    (cond_210 && cond_211 && ~cond_201)? (`TRUE) :
1606
    (cond_216)? (`TRUE) :
1607
    (cond_255)? (`TRUE) :
1608
    (cond_261 && cond_33 && ~cond_9 && cond_194)? (`TRUE) :
1609
    (cond_261 && cond_195)? (`TRUE) :
1610
    (cond_270)? (`TRUE) :
1611
    1'd0;
1612
assign wr_make_esp_speculative =
1613
    (cond_7)? (`TRUE) :
1614
    (cond_11 && cond_10)? (`TRUE) :
1615
    (cond_57)? (`TRUE) :
1616
    (cond_75)? (`TRUE) :
1617
    (cond_80 && cond_81)? (`TRUE) :
1618
    (cond_97)? (`TRUE) :
1619
    (cond_124 && cond_125)? (`TRUE) :
1620
    (cond_130)? (`TRUE) :
1621
    (cond_147)? (`TRUE) :
1622
    (cond_253 && cond_254)? (`TRUE) :
1623
    (cond_263 && cond_125)? (`TRUE) :
1624
    1'd0;
1625
assign write_system_busy_tss =
1626
    (cond_70 && cond_71 && cond_72 && cond_73)? (`TRUE) :
1627
    1'd0;
1628
assign wr_hlt_in_progress =
1629
    (cond_31)? (`TRUE) :
1630
    1'd0;
1631
assign wr_regrm_word =
1632
    (cond_39)? (`TRUE) :
1633
    1'd0;
1634
assign wr_glob_param_1_value =
1635
    (cond_240)? ( (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? { 13'd0, `SEGMENT_LDT, exe_buffer_shifted[47:32] } : { 13'd0, `SEGMENT_LDT, exe_buffer_shifted[15:0] }) :
1636
    (cond_242)? ( { 13'd0, `SEGMENT_SS, task_ss }) :
1637
    (cond_244 && cond_246 && ~cond_9 && cond_245)? ( { 13'd0, `SEGMENT_DS, task_ds }) :
1638
    (cond_244 && cond_246 && ~cond_9 && cond_247)? ( { 13'd0, `SEGMENT_ES, task_es }) :
1639
    (cond_244 && cond_246 && ~cond_9 && cond_248)? ( { 13'd0, `SEGMENT_FS, glob_param_4[31:16] }) :
1640
    (cond_244 && cond_246 && ~cond_9 && cond_249)? ( { 13'd0, `SEGMENT_GS, glob_param_4[15:0] }) :
1641
    (cond_244 && cond_246 && ~cond_9 && cond_250)? ( { 13'd0, `SEGMENT_CS, task_cs }) :
1642
    (cond_244 && cond_251 && cond_245)? ( { 13'd0, `SEGMENT_DS, task_ds }) :
1643
    (cond_244 && cond_251 && cond_247)? ( { 13'd0, `SEGMENT_ES, task_es }) :
1644
    (cond_244 && cond_251 && cond_248)? ( { 13'd0, `SEGMENT_FS, glob_param_4[31:16] }) :
1645
    (cond_244 && cond_251 && cond_249)? ( { 13'd0, `SEGMENT_GS, glob_param_4[15:0] }) :
1646
    (cond_244 && cond_251 && cond_250)? ( { 13'd0, `SEGMENT_CS, task_cs }) :
1647
    (cond_244 && cond_252 && cond_245)? ( { 13'd0, `SEGMENT_DS, task_ds }) :
1648
    (cond_244 && cond_252 && cond_247)? ( { 13'd0, `SEGMENT_ES, task_es }) :
1649
    (cond_244 && cond_252 && cond_248)? ( { 13'd0, `SEGMENT_FS, glob_param_4[31:16] }) :
1650
    (cond_244 && cond_252 && cond_249)? ( { 13'd0, `SEGMENT_GS, glob_param_4[15:0] }) :
1651
    (cond_244 && cond_252 && cond_250)? ( { 13'd0, `SEGMENT_CS, task_cs }) :
1652
    32'd0;
1653
assign wr_push_ss_fault_check =
1654
    (cond_8)? (`TRUE) :
1655
    (cond_11)? (`TRUE) :
1656
    (cond_21)? (`TRUE) :
1657
    (cond_65)? (`TRUE) :
1658
    (cond_124)? (`TRUE) :
1659
    (cond_130)? (`TRUE) :
1660
    (cond_132)? (`TRUE) :
1661
    (cond_158)? (`TRUE) :
1662
    (cond_189)? (`TRUE) :
1663
    (cond_202)? (`TRUE) :
1664
    (cond_253 && cond_254)? (`TRUE) :
1665
    1'd0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.