OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [ao486/] [commands/] [CMD_POP_seg.txt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
 
2
3
`define CMD_POP_seg     #AUTOGEN_NEXT_CMD
4
 
5
`define CMDEX_POP_seg_STEP_1        4'd0
6
`define CMDEX_POP_seg_STEP_LAST     4'd1
7
8
 
9
10
(dec_ready_one && (decoder[7:0] == 8'h07 || decoder[7:0] == 8'h17 || decoder[7:0] == 8'h1F)) || (dec_ready_2byte_one && (decoder[7:0] == 8'hA1 || decoder[7:0] == 8'hA9))
11
`CMD_POP_seg
12
SET(dec_cmdex, `CMDEX_POP_seg_STEP_1);
13
SET(consume_one);
14
SET(dec_is_complex);
15
16
 
17
18
`CMDEX_POP_seg_STEP_1
19
CALL(`CMDEX_load_seg_STEP_1);
20
LOOP(`CMDEX_POP_seg_STEP_LAST);
21
22
 
23
24
IF(rd_cmd == `CMD_POP_seg && rd_cmdex == `CMDEX_POP_seg_STEP_1);
25
 
26
    SET(address_stack_pop);
27
    // waiting for esp in 'address_ready'
28
 
29
    SET(read_length_word);
30
 
31
    SET(rd_req_esp);
32
 
33
    SET(rd_glob_param_1_set);
34
    SET(rd_glob_param_1_value, { 13'd0, rd_decoder[5:3], read_4[15:0] });
35
 
36
    IF(rd_mutex_busy_memory); SET(rd_waiting);
37
    ELSE();
38
        SET(read_virtual);
39
 
40
        IF(~(read_for_rd_ready)); SET(rd_waiting); ENDIF();
41
    ENDIF();
42
ENDIF();
43
44
 
45
46
IF(exe_cmd == `CMD_POP_seg);
47
    SET(offset_pop);
48
ENDIF();
49
50
 
51
52
IF(wr_cmd == `CMD_POP_seg && wr_cmdex == `CMDEX_POP_seg_STEP_1);
53
 
54
    SAVE(esp, wr_stack_esp);
55
    SET(wr_make_esp_speculative);
56
 
57
    SET(wr_not_finished);
58
ENDIF();
59
60
 
61
62
IF(wr_cmd == `CMD_POP_seg && wr_cmdex == `CMDEX_POP_seg_STEP_LAST);
63
 
64
    SET(wr_make_esp_commit);
65
 
66
    IF(wr_decoder[5:3] == `SEGMENT_SS); SET(wr_inhibit_interrupts_and_debug); ENDIF();
67
 
68
    // clear pipeline
69
    SET(wr_req_reset_micro);
70
    SET(wr_req_reset_rd);
71
    SET(wr_req_reset_exe);
72
ENDIF();
73

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.