OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [ao486/] [memory/] [link_dcachewrite.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
/*
2
 * Copyright (c) 2014, Aleksander Osman
3
 * All rights reserved.
4
 *
5
 * Redistribution and use in source and binary forms, with or without
6
 * modification, are permitted provided that the following conditions are met:
7
 *
8
 * * Redistributions of source code must retain the above copyright notice, this
9
 *   list of conditions and the following disclaimer.
10
 *
11
 * * Redistributions in binary form must reproduce the above copyright notice,
12
 *   this list of conditions and the following disclaimer in the documentation
13
 *   and/or other materials provided with the distribution.
14
 *
15
 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
16
 * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
17
 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
 * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
19
 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
21
 * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
22
 * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
23
 * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
24
 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
25
 */
26
 
27
`include "defines.v"
28
 
29
//TYPE: done delayed one cycle
30
//TYPE: full save
31
 
32
module link_dcachewrite(
33
    input               clk,
34
    input               rst_n,
35
 
36
    // dcachewrite REQ
37
    input               req_dcachewrite_do,
38
    output              req_dcachewrite_done,
39
 
40
    input   [2:0]       req_dcachewrite_length,
41
    input               req_dcachewrite_cache_disable,
42
    input   [31:0]      req_dcachewrite_address,
43
    input               req_dcachewrite_write_through,
44
    input   [31:0]      req_dcachewrite_data,
45
 
46
    // dcachewrite RESP
47
    output              resp_dcachewrite_do,
48
    input               resp_dcachewrite_done,
49
 
50
    output  [2:0]       resp_dcachewrite_length,
51
    output              resp_dcachewrite_cache_disable,
52
    output  [31:0]      resp_dcachewrite_address,
53
    output              resp_dcachewrite_write_through,
54
    output  [31:0]      resp_dcachewrite_data
55
);
56
 
57
//------------------------------------------------------------------------------
58
 
59
reg         current_do;
60
reg [2:0]   length;
61
reg         cache_disable;
62
reg [31:0]  address;
63
reg         write_through;
64
reg [31:0]  data;
65
 
66
reg         done_delayed;
67
 
68
//------------------------------------------------------------------------------
69
 
70
wire save;
71
 
72
//------------------------------------------------------------------------------
73
 
74
assign save  = req_dcachewrite_do && ~(resp_dcachewrite_done) && ~(req_dcachewrite_done);
75
 
76
//------------------------------------------------------------------------------
77
 
78
always @(posedge clk or negedge rst_n) begin
79
    if(rst_n == 1'b0)               current_do <= `FALSE;
80
    else if(save)                   current_do <= req_dcachewrite_do;
81
    else if(resp_dcachewrite_done)  current_do <= `FALSE;
82
end
83
 
84
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) length        <= 3'd0;  else if(save) length        <= req_dcachewrite_length;        end
85
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) cache_disable <= 1'b0;  else if(save) cache_disable <= req_dcachewrite_cache_disable; end
86
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) address       <= 32'd0; else if(save) address       <= req_dcachewrite_address;       end
87
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) write_through <= 1'b0;  else if(save) write_through <= req_dcachewrite_write_through; end
88
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) data          <= 32'd0; else if(save) data          <= req_dcachewrite_data;          end
89
 
90
always @(posedge clk or negedge rst_n) begin
91
    if(rst_n == 1'b0)               done_delayed <= `FALSE;
92
    else                            done_delayed <= resp_dcachewrite_done;
93
end
94
 
95
//------------------------------------------------------------------------------
96
 
97
assign req_dcachewrite_done = done_delayed;
98
 
99
assign resp_dcachewrite_do            = (req_dcachewrite_do)? req_dcachewrite_do            : current_do;
100
assign resp_dcachewrite_length        = (req_dcachewrite_do)? req_dcachewrite_length        : length;
101
assign resp_dcachewrite_cache_disable = (req_dcachewrite_do)? req_dcachewrite_cache_disable : cache_disable;
102
assign resp_dcachewrite_address       = (req_dcachewrite_do)? req_dcachewrite_address       : address;
103
assign resp_dcachewrite_write_through = (req_dcachewrite_do)? req_dcachewrite_write_through : write_through;
104
assign resp_dcachewrite_data          = (req_dcachewrite_do)? req_dcachewrite_data          : data;
105
 
106
//------------------------------------------------------------------------------
107
 
108
//------------------------------------------------------------------------------
109
 
110
//------------------------------------------------------------------------------
111
 
112
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.