OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [ao486/] [memory/] [link_writeburst.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
/*
2
 * Copyright (c) 2014, Aleksander Osman
3
 * All rights reserved.
4
 *
5
 * Redistribution and use in source and binary forms, with or without
6
 * modification, are permitted provided that the following conditions are met:
7
 *
8
 * * Redistributions of source code must retain the above copyright notice, this
9
 *   list of conditions and the following disclaimer.
10
 *
11
 * * Redistributions in binary form must reproduce the above copyright notice,
12
 *   this list of conditions and the following disclaimer in the documentation
13
 *   and/or other materials provided with the distribution.
14
 *
15
 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
16
 * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
17
 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
 * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
19
 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
21
 * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
22
 * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
23
 * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
24
 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
25
 */
26
 
27
`include "defines.v"
28
 
29
//TYPE: done delayed one cycle
30
//TYPE: full save
31
 
32
module link_writeburst(
33
    input               clk,
34
    input               rst_n,
35
 
36
    // writeburst REQ
37
    input               req_writeburst_do,
38
    output              req_writeburst_done,
39
 
40
    input       [31:0]  req_writeburst_address,
41
    input       [1:0]   req_writeburst_dword_length,
42
    input       [3:0]   req_writeburst_byteenable_0,
43
    input       [3:0]   req_writeburst_byteenable_1,
44
    input       [55:0]  req_writeburst_data,
45
 
46
    // writeburst RESP
47
    output              resp_writeburst_do,
48
    input               resp_writeburst_done,
49
 
50
    output      [31:0]  resp_writeburst_address,
51
    output      [1:0]   resp_writeburst_dword_length,
52
    output      [3:0]   resp_writeburst_byteenable_0,
53
    output      [3:0]   resp_writeburst_byteenable_1,
54
    output      [55:0]  resp_writeburst_data
55
);
56
 
57
//------------------------------------------------------------------------------
58
 
59
reg         current_do;
60
reg [31:0]  address;
61
reg [1:0]   dword_length;
62
reg [3:0]   byteenable_0;
63
reg [3:0]   byteenable_1;
64
reg [55:0]  data;
65
 
66
reg         done_delayed;
67
 
68
//------------------------------------------------------------------------------
69
 
70
wire save;
71
 
72
//------------------------------------------------------------------------------
73
 
74
assign save  = req_writeburst_do && ~(resp_writeburst_done) && ~(req_writeburst_done);
75
 
76
//------------------------------------------------------------------------------
77
 
78
always @(posedge clk or negedge rst_n) begin
79
    if(rst_n == 1'b0)               current_do <= `FALSE;
80
    else if(save)                   current_do <= req_writeburst_do;
81
    else if(resp_writeburst_done)   current_do <= `FALSE;
82
end
83
 
84
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) address      <= 32'd0; else if(save) address      <= req_writeburst_address;      end
85
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) dword_length <= 2'd0;  else if(save) dword_length <= req_writeburst_dword_length; end
86
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) byteenable_0 <= 4'd0;  else if(save) byteenable_0 <= req_writeburst_byteenable_0; end
87
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) byteenable_1 <= 4'd0;  else if(save) byteenable_1 <= req_writeburst_byteenable_1; end
88
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) data         <= 56'd0; else if(save) data         <= req_writeburst_data;         end
89
 
90
always @(posedge clk or negedge rst_n) begin
91
    if(rst_n == 1'b0)               done_delayed <= `FALSE;
92
    else                            done_delayed <= resp_writeburst_done;
93
end
94
 
95
 
96
//------------------------------------------------------------------------------
97
 
98
assign req_writeburst_done = done_delayed;
99
 
100
assign resp_writeburst_do           = (req_writeburst_do)? req_writeburst_do           : current_do;
101
assign resp_writeburst_address      = (req_writeburst_do)? req_writeburst_address      : address;
102
assign resp_writeburst_dword_length = (req_writeburst_do)? req_writeburst_dword_length : dword_length;
103
assign resp_writeburst_byteenable_0 = (req_writeburst_do)? req_writeburst_byteenable_0 : byteenable_0;
104
assign resp_writeburst_byteenable_1 = (req_writeburst_do)? req_writeburst_byteenable_1 : byteenable_1;
105
assign resp_writeburst_data         = (req_writeburst_do)? req_writeburst_data         : data;
106
 
107
//------------------------------------------------------------------------------
108
 
109
//------------------------------------------------------------------------------
110
 
111
//------------------------------------------------------------------------------
112
 
113
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.