OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [ao486/] [pipeline/] [decode_regs.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
/*
2
 * Copyright (c) 2014, Aleksander Osman
3
 * All rights reserved.
4
 *
5
 * Redistribution and use in source and binary forms, with or without
6
 * modification, are permitted provided that the following conditions are met:
7
 *
8
 * * Redistributions of source code must retain the above copyright notice, this
9
 *   list of conditions and the following disclaimer.
10
 *
11
 * * Redistributions in binary form must reproduce the above copyright notice,
12
 *   this list of conditions and the following disclaimer in the documentation
13
 *   and/or other materials provided with the distribution.
14
 *
15
 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
16
 * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
17
 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
 * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
19
 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
21
 * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
22
 * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
23
 * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
24
 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
25
 */
26
 
27
module decode_regs(
28
    input               clk,
29
    input               rst_n,
30
 
31
    input               dec_reset,
32
 
33
    input       [3:0]   fetch_valid,
34
    input       [63:0]  fetch,
35
 
36
    input       [3:0]   prefix_count,
37
    input       [3:0]   consume_count,
38
 
39
    output      [3:0]   dec_acceptable,
40
 
41
    output reg  [95:0]  decoder,
42
    output reg  [3:0]   decoder_count
43
);
44
 
45
//------------------------------------------------------------------------------
46
 
47
wire [3:0] after_consume_count;
48
 
49
wire [4:0] total_count;
50
 
51
wire [3:0] acceptable_1;
52
wire [3:0] acceptable_2;
53
 
54
wire [3:0] accepted;
55
 
56
wire [95:0] after_consume;
57
 
58
wire [95:0] decoder_next;
59
 
60
//------------------------------------------------------------------------------
61
 
62
//------------------------------------------------------------------------------
63
 
64
assign after_consume_count = decoder_count - consume_count;
65
 
66
assign total_count         = prefix_count + decoder_count;
67
 
68
//------------------------------------------------------------------------------
69
 
70
// acceptable by decoder
71
assign acceptable_1     = 4'd12 - decoder_count + consume_count;
72
 
73
// acceptable by total instruction length
74
assign acceptable_2     = (total_count < 5'd15)? 4'd15 - total_count[3:0] : 4'd0;
75
 
76
assign dec_acceptable   = (dec_reset)?                      4'd0 :
77
                          (acceptable_1 < acceptable_2)?    acceptable_1 : acceptable_2;
78
 
79
assign accepted         = (dec_acceptable > fetch_valid)? fetch_valid : dec_acceptable;
80
 
81
//------------------------------------------------------------------------------
82
 
83
assign after_consume =
84
    (consume_count == 4'd0)?            decoder :
85
    (consume_count == 4'd1)?  { 8'd0,   decoder[95:8] } :
86
    (consume_count == 4'd2)?  { 16'd0,  decoder[95:16] } :
87
    (consume_count == 4'd3)?  { 24'd0,  decoder[95:24] } :
88
    (consume_count == 4'd4)?  { 32'd0,  decoder[95:32] } :
89
    (consume_count == 4'd5)?  { 40'd0,  decoder[95:40] } :
90
    (consume_count == 4'd6)?  { 48'd0,  decoder[95:48] } :
91
    (consume_count == 4'd7)?  { 56'd0,  decoder[95:56] } :
92
    (consume_count == 4'd8)?  { 64'd0,  decoder[95:64] } :
93
    (consume_count == 4'd9)?  { 72'd0,  decoder[95:72] } :
94
    (consume_count == 4'd10)? { 80'd0,  decoder[95:80] } :
95
                              { 88'd0,  decoder[95:88] };
96
 
97
assign decoder_next =
98
    (after_consume_count == 4'd0)?   { 32'd0,fetch } :
99
    (after_consume_count == 4'd1)?   { 24'd0,fetch,       after_consume[7:0] } :
100
    (after_consume_count == 4'd2)?   { 16'd0,fetch,       after_consume[15:0] } :
101
    (after_consume_count == 4'd3)?   { 8'd0, fetch,       after_consume[23:0] } :
102
    (after_consume_count == 4'd4)?   {       fetch,       after_consume[31:0] } :
103
    (after_consume_count == 4'd5)?   {       fetch[55:0], after_consume[39:0] } :
104
    (after_consume_count == 4'd6)?   {       fetch[47:0], after_consume[47:0] } :
105
    (after_consume_count == 4'd7)?   {       fetch[39:0], after_consume[55:0] } :
106
    (after_consume_count == 4'd8)?   {       fetch[31:0], after_consume[63:0] } :
107
    (after_consume_count == 4'd9)?   {       fetch[23:0], after_consume[71:0] } :
108
    (after_consume_count == 4'd10)?  {       fetch[15:0], after_consume[79:0] } :
109
    (after_consume_count == 4'd11)?  {       fetch[7:0],  after_consume[87:0] } :
110
                                                          after_consume;
111
 
112
//------------------------------------------------------------------------------
113
 
114
always @(posedge clk or negedge rst_n) begin
115
    if(rst_n == 1'b0)   decoder <= 96'd0;
116
    else                decoder <= decoder_next;
117
end
118
 
119
always @(posedge clk or negedge rst_n) begin
120
    if(rst_n == 1'b0)   decoder_count <= 4'd0;
121
    else if(dec_reset)  decoder_count <= 4'd0;
122
    else                decoder_count <= after_consume_count + accepted;
123
end
124
 
125
//------------------------------------------------------------------------------
126
 
127
//------------------------------------------------------------------------------
128
 
129
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.