OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [ao486/] [pipeline/] [write_debug.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
/*
2
 * Copyright (c) 2014, Aleksander Osman
3
 * All rights reserved.
4
 *
5
 * Redistribution and use in source and binary forms, with or without
6
 * modification, are permitted provided that the following conditions are met:
7
 *
8
 * * Redistributions of source code must retain the above copyright notice, this
9
 *   list of conditions and the following disclaimer.
10
 *
11
 * * Redistributions in binary form must reproduce the above copyright notice,
12
 *   this list of conditions and the following disclaimer in the documentation
13
 *   and/or other materials provided with the distribution.
14
 *
15
 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
16
 * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
17
 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
 * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
19
 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
21
 * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
22
 * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
23
 * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
24
 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
25
 */
26
 
27
`include "defines.v"
28
 
29
module write_debug(
30
    input               clk,
31
    input               rst_n,
32
 
33
    //general input
34
    input       [31:0]  dr0,
35
    input       [31:0]  dr1,
36
    input       [31:0]  dr2,
37
    input       [31:0]  dr3,
38
    input       [31:0]  dr7,
39
 
40
    input       [2:0]   debug_len0,
41
    input       [2:0]   debug_len1,
42
    input       [2:0]   debug_len2,
43
    input       [2:0]   debug_len3,
44
 
45
    input               rflag_to_reg,
46
    input               tflag_to_reg,
47
 
48
    input       [31:0]  wr_eip,
49
 
50
    input       [31:0]  cs_base,
51
    input       [31:0]  cs_limit,
52
 
53
    //memory write
54
    input       [31:0]  write_address,
55
    input       [2:0]   write_length,
56
    input               write_for_wr_ready,
57
 
58
    //write control
59
    input               w_load,
60
    input               wr_finished,
61
    input               wr_inhibit_interrupts_and_debug,
62
    input               wr_debug_task_trigger,
63
    input               wr_debug_trap_clear,
64
 
65
    input               wr_string_in_progress,
66
 
67
    //pipeline input
68
    input       [3:0]   exe_debug_read,
69
 
70
    //output
71
    output              wr_debug_prepare,
72
 
73
    output reg  [3:0]   wr_debug_code_reg,
74
    output reg  [3:0]   wr_debug_write_reg,
75
    output reg  [3:0]   wr_debug_read_reg,
76
    output reg          wr_debug_step_reg,
77
    output reg          wr_debug_task_reg
78
);
79
 
80
//------------------------------------------------------------------------------
81
 
82
wire wr_debug_breakpoints_disabled;
83
 
84
assign wr_debug_breakpoints_disabled = dr7[7:0] == 8'h00;
85
 
86
//NOTE: GD exception -- has to have: (single_step / breakpoint data) saved
87
 
88
//------------------------------------------------------------------------------ debug read
89
 
90
wire        wr_debug_read_active;
91
wire [3:0]  wr_debug_read_current;
92
 
93
assign wr_debug_read_current = (wr_debug_breakpoints_disabled)? 4'd0 : exe_debug_read;
94
 
95
always @(posedge clk or negedge rst_n) begin
96
    if(rst_n == 1'b0)                                               wr_debug_read_reg <= 4'd0;
97
 
98
    else if(wr_inhibit_interrupts_and_debug || wr_debug_prepare)    wr_debug_read_reg <= wr_debug_read_reg; // no change
99
    else if(wr_debug_trap_clear)                                    wr_debug_read_reg <= 4'd0;
100
 
101
    //w_load -> no debug exception; no interrupt
102
    else if(wr_finished && w_load)                              wr_debug_read_reg <= wr_debug_read_current;
103
    else if(wr_finished && ~(w_load))                           wr_debug_read_reg <= 4'd0;
104
    else if(w_load)                                             wr_debug_read_reg <= wr_debug_read_reg | wr_debug_read_current;
105
end
106
 
107
assign wr_debug_read_active =
108
    (wr_debug_read_reg[3] && dr7[7:6] != 2'b00) || (wr_debug_read_reg[2] && dr7[5:4] != 2'b00) ||
109
    (wr_debug_read_reg[1] && dr7[3:2] != 2'b00) || (wr_debug_read_reg[0] && dr7[1:0] != 2'b00);
110
 
111
//------------------------------------------------------------------------------ write breakpoints
112
 
113
wire [31:0] wr_debug_linear_last;
114
reg         wr_debug_b0_write_trigger;
115
reg         wr_debug_b1_write_trigger;
116
reg         wr_debug_b2_write_trigger;
117
reg         wr_debug_b3_write_trigger;
118
 
119
reg  [31:0] wr_debug_linear_last_reg;
120
reg  [31:0] write_address_last;
121
 
122
assign wr_debug_linear_last = write_address + { 29'd0, write_length } - 32'd1;
123
 
124
 
125
//NOTE: write_for_wr_ready at least two cycles after valid write_address
126
always @(posedge clk or negedge rst_n) begin
127
    if(rst_n == 1'b0)   wr_debug_linear_last_reg <= 32'd0;
128
    else                wr_debug_linear_last_reg <= wr_debug_linear_last;
129
end
130
 
131
always @(posedge clk or negedge rst_n) begin
132
    if(rst_n == 1'b0)   write_address_last <= 32'd0;
133
    else                write_address_last <= write_address;
134
end
135
 
136
always @(posedge clk or negedge rst_n) begin
137
    if(rst_n == 1'b0)   wr_debug_b0_write_trigger <= `FALSE;
138
    else                wr_debug_b0_write_trigger <= dr7[16] == 1'b1 && // RW bits = (read or write) or write only
139
                                                     ( write_address_last        <= { dr0[31:3], dr0[2:0] | ~(debug_len0)} ) &&
140
                                                     ( wr_debug_linear_last_reg  >= { dr0[31:3], dr0[2:0] &   debug_len0 } );
141
end
142
 
143
always @(posedge clk or negedge rst_n) begin
144
    if(rst_n == 1'b0)   wr_debug_b1_write_trigger <= `FALSE;
145
    else                wr_debug_b1_write_trigger <= dr7[20] == 1'b1 && // RW bits = (read or write) or write only
146
                                                     ( write_address_last        <= { dr1[31:3], dr1[2:0] | ~(debug_len1)} ) &&
147
                                                     ( wr_debug_linear_last_reg  >= { dr1[31:3], dr1[2:0] &   debug_len1 } );
148
end
149
 
150
always @(posedge clk or negedge rst_n) begin
151
    if(rst_n == 1'b0)   wr_debug_b2_write_trigger <= `FALSE;
152
    else                wr_debug_b2_write_trigger <= dr7[24] == 1'b1 && // RW bits = (read or write) or write only
153
                                                     ( write_address_last        <= { dr2[31:3], dr2[2:0] | ~(debug_len2)} ) &&
154
                                                     ( wr_debug_linear_last_reg  >= { dr2[31:3], dr2[2:0] &   debug_len2 } );
155
end
156
 
157
always @(posedge clk or negedge rst_n) begin
158
    if(rst_n == 1'b0)   wr_debug_b3_write_trigger <= `FALSE;
159
    else                wr_debug_b3_write_trigger <= dr7[28] == 1'b1 && // RW bits = (read or write) or write only
160
                                                     ( write_address_last        <= { dr3[31:3], dr3[2:0] | ~(debug_len3)} ) &&
161
                                                     ( wr_debug_linear_last_reg  >= { dr3[31:3], dr3[2:0] &   debug_len3 } );
162
end
163
 
164
//------------------------------------------------------------------------------ debug write
165
 
166
wire [3:0]  wr_debug_write;
167
wire        wr_debug_write_active;
168
wire [3:0]  wr_debug_write_current;
169
 
170
assign wr_debug_write_current = (wr_debug_breakpoints_disabled)? 4'd0 :
171
    { wr_debug_b3_write_trigger, wr_debug_b2_write_trigger, wr_debug_b1_write_trigger, wr_debug_b0_write_trigger };
172
 
173
 
174
always @(posedge clk or negedge rst_n) begin
175
    if(rst_n == 1'b0)                               wr_debug_write_reg <= 4'd0;
176
 
177
    else if(wr_inhibit_interrupts_and_debug)        wr_debug_write_reg <= wr_debug_write_reg; // no change
178
    else if(wr_debug_trap_clear)                    wr_debug_write_reg <= 4'd0;
179
 
180
    else if(write_for_wr_ready || wr_debug_prepare) wr_debug_write_reg <= wr_debug_write;
181
    else if(wr_finished)                            wr_debug_write_reg <= 4'd0;
182
end
183
 
184
assign wr_debug_write = wr_debug_write_current | wr_debug_write_reg;
185
 
186
assign wr_debug_write_active =
187
    (wr_debug_write[3] && dr7[7:6] != 2'b00) || (wr_debug_write[2] && dr7[5:4] != 2'b00) ||
188
    (wr_debug_write[1] && dr7[3:2] != 2'b00) || (wr_debug_write[0] && dr7[1:0] != 2'b00);
189
 
190
 
191
//------------------------------------------------------------------------------ debug code
192
 
193
wire        wr_debug_code_trigger;
194
wire        wr_debug_b0_code_trigger;
195
wire        wr_debug_b1_code_trigger;
196
wire        wr_debug_b2_code_trigger;
197
wire        wr_debug_b3_code_trigger;
198
wire        wr_debug_code_active;
199
wire [3:0]  wr_debug_code;
200
 
201
wire [31:0] wr_code_linear;
202
 
203
assign wr_code_linear = cs_base + wr_eip;
204
 
205
assign wr_debug_code_trigger =
206
    wr_finished && wr_eip <= cs_limit && rflag_to_reg == 1'b0 && ~(wr_debug_breakpoints_disabled) && ~(wr_string_in_progress);
207
 
208
assign wr_debug_b0_code_trigger =
209
    wr_debug_code_trigger && dr7[17:16] == 2'b00 && { dr0[31:3], dr0[2:0] & debug_len0 } == { wr_code_linear[31:3], wr_code_linear[2:0] & debug_len0 };
210
 
211
assign wr_debug_b1_code_trigger =
212
    wr_debug_code_trigger && dr7[21:20] == 2'b00 && { dr1[31:3], dr1[2:0] & debug_len1 } == { wr_code_linear[31:3], wr_code_linear[2:0] & debug_len1 };
213
 
214
assign wr_debug_b2_code_trigger =
215
    wr_debug_code_trigger && dr7[25:24] == 2'b00 && { dr2[31:3], dr2[2:0] & debug_len2 } == { wr_code_linear[31:3], wr_code_linear[2:0] & debug_len2 };
216
 
217
assign wr_debug_b3_code_trigger =
218
    wr_debug_code_trigger && dr7[29:28] == 2'b00 && { dr3[31:3], dr3[2:0] & debug_len3 } == { wr_code_linear[31:3], wr_code_linear[2:0] & debug_len3 };
219
 
220
assign wr_debug_code_active =
221
    (wr_debug_b3_code_trigger && dr7[7:6] != 2'b00) || (wr_debug_b2_code_trigger && dr7[5:4] != 2'b00) ||
222
    (wr_debug_b1_code_trigger && dr7[3:2] != 2'b00) || (wr_debug_b0_code_trigger && dr7[1:0] != 2'b00);
223
 
224
assign wr_debug_code = (wr_debug_code_active)?
225
    { wr_debug_b3_code_trigger, wr_debug_b2_code_trigger, wr_debug_b1_code_trigger, wr_debug_b0_code_trigger } : 4'd0;
226
 
227
always @(posedge clk or negedge rst_n) begin
228
    if(rst_n == 1'b0)           wr_debug_code_reg <= 4'd0;
229
    else if(wr_debug_prepare)   wr_debug_code_reg <= wr_debug_code;
230
end
231
 
232
//------------------------------------------------------------------------------ debug single step
233
 
234
reg wr_debug_step;
235
 
236
always @(posedge clk or negedge rst_n) begin
237
    if(rst_n == 1'b0)           wr_debug_step_reg <= `FALSE;
238
    else if(wr_debug_prepare)   wr_debug_step_reg <= wr_debug_step;
239
end
240
 
241
always @(posedge clk or negedge rst_n) begin
242
    if(rst_n == 1'b0)               wr_debug_step <= `FALSE;
243
    else if(wr_debug_trap_clear)    wr_debug_step <= `FALSE;
244
    else if(wr_finished)            wr_debug_step <= tflag_to_reg;
245
end
246
 
247
//------------------------------------------------------------------------------ debug task switch
248
 
249
always @(posedge clk or negedge rst_n) begin
250
    if(rst_n == 1'b0)           wr_debug_task_reg <= `FALSE;
251
    else if(wr_debug_prepare)   wr_debug_task_reg <= wr_debug_task_trigger;
252
end
253
 
254
//------------------------------------------------------------------------------ final debug init
255
 
256
assign wr_debug_prepare =
257
    wr_finished && ~(wr_inhibit_interrupts_and_debug) && (
258
        wr_debug_task_trigger || wr_debug_step || wr_debug_code_active || wr_debug_read_active || wr_debug_write_active
259
    );
260
 
261
//------------------------------------------------------------------------------
262
 
263
// synthesis translate_off
264
wire _unused_ok = &{ 1'b0, dr7[31:30], dr7[27:26], dr7[23:22], dr7[19:18], dr7[15:8], 1'b0 };
265
// synthesis translate_on
266
 
267
//------------------------------------------------------------------------------
268
 
269
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.