OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [soc/] [driver_sound/] [driver_sound_hw.tcl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
# TCL File Generated by Component Editor 13.1
2
# Thu Jan 16 23:22:07 CET 2014
3
# DO NOT MODIFY
4
 
5
 
6
# 
7
# driver_sound "driver_sound" v1.0
8
#  2014.01.16.23:22:07
9
# 
10
# 
11
 
12
# 
13
# request TCL package from ACDS 13.1
14
# 
15
package require -exact qsys 13.1
16
 
17
 
18
# 
19
# module driver_sound
20
# 
21
set_module_property DESCRIPTION ""
22
set_module_property NAME driver_sound
23
set_module_property VERSION 1.0
24
set_module_property INTERNAL false
25
set_module_property OPAQUE_ADDRESS_MAP true
26
set_module_property GROUP ao486
27
set_module_property AUTHOR ""
28
set_module_property DISPLAY_NAME driver_sound
29
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
30
set_module_property EDITABLE true
31
set_module_property ANALYZE_HDL AUTO
32
set_module_property REPORT_TO_TALKBACK false
33
set_module_property ALLOW_GREYBOX_GENERATION false
34
 
35
 
36
# 
37
# file sets
38
# 
39
add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" ""
40
set_fileset_property QUARTUS_SYNTH TOP_LEVEL driver_sound
41
set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false
42
add_fileset_file driver_sound.v VERILOG PATH driver_sound.v TOP_LEVEL_FILE
43
 
44
 
45
# 
46
# parameters
47
# 
48
 
49
 
50
# 
51
# display items
52
# 
53
 
54
 
55
# 
56
# connection point sound_slave
57
# 
58
add_interface sound_slave avalon end
59
set_interface_property sound_slave addressUnits WORDS
60
set_interface_property sound_slave associatedClock clock_sound
61
set_interface_property sound_slave associatedReset reset_sound
62
set_interface_property sound_slave bitsPerSymbol 8
63
set_interface_property sound_slave burstOnBurstBoundariesOnly false
64
set_interface_property sound_slave burstcountUnits WORDS
65
set_interface_property sound_slave explicitAddressSpan 0
66
set_interface_property sound_slave holdTime 0
67
set_interface_property sound_slave linewrapBursts false
68
set_interface_property sound_slave maximumPendingReadTransactions 0
69
set_interface_property sound_slave readLatency 0
70
set_interface_property sound_slave readWaitTime 1
71
set_interface_property sound_slave setupTime 0
72
set_interface_property sound_slave timingUnits Cycles
73
set_interface_property sound_slave writeWaitTime 0
74
set_interface_property sound_slave ENABLED true
75
set_interface_property sound_slave EXPORT_OF ""
76
set_interface_property sound_slave PORT_NAME_MAP ""
77
set_interface_property sound_slave CMSIS_SVD_VARIABLES ""
78
set_interface_property sound_slave SVD_ADDRESS_GROUP ""
79
 
80
add_interface_port sound_slave avs_writedata writedata Input 32
81
add_interface_port sound_slave avs_write write Input 1
82
set_interface_assignment sound_slave embeddedsw.configuration.isFlash 0
83
set_interface_assignment sound_slave embeddedsw.configuration.isMemoryDevice 0
84
set_interface_assignment sound_slave embeddedsw.configuration.isNonVolatileStorage 0
85
set_interface_assignment sound_slave embeddedsw.configuration.isPrintableDevice 0
86
 
87
 
88
# 
89
# connection point clock_sound
90
# 
91
add_interface clock_sound clock end
92
set_interface_property clock_sound clockRate 0
93
set_interface_property clock_sound ENABLED true
94
set_interface_property clock_sound EXPORT_OF ""
95
set_interface_property clock_sound PORT_NAME_MAP ""
96
set_interface_property clock_sound CMSIS_SVD_VARIABLES ""
97
set_interface_property clock_sound SVD_ADDRESS_GROUP ""
98
 
99
add_interface_port clock_sound clk_12 clk Input 1
100
 
101
 
102
# 
103
# connection point reset_sound
104
# 
105
add_interface reset_sound reset end
106
set_interface_property reset_sound associatedClock clock_sound
107
set_interface_property reset_sound synchronousEdges DEASSERT
108
set_interface_property reset_sound ENABLED true
109
set_interface_property reset_sound EXPORT_OF ""
110
set_interface_property reset_sound PORT_NAME_MAP ""
111
set_interface_property reset_sound CMSIS_SVD_VARIABLES ""
112
set_interface_property reset_sound SVD_ADDRESS_GROUP ""
113
 
114
add_interface_port reset_sound rst_n reset_n Input 1
115
 
116
 
117
# 
118
# connection point export_sound
119
# 
120
add_interface export_sound conduit end
121
set_interface_property export_sound associatedClock clock_sound
122
set_interface_property export_sound associatedReset reset_sound
123
set_interface_property export_sound ENABLED true
124
set_interface_property export_sound EXPORT_OF ""
125
set_interface_property export_sound PORT_NAME_MAP ""
126
set_interface_property export_sound CMSIS_SVD_VARIABLES ""
127
set_interface_property export_sound SVD_ADDRESS_GROUP ""
128
 
129
add_interface_port export_sound ac_sclk export Output 1
130
add_interface_port export_sound ac_sdat export Bidir 1
131
add_interface_port export_sound ac_xclk export Output 1
132
add_interface_port export_sound ac_bclk export Output 1
133
add_interface_port export_sound ac_dat export Output 1
134
add_interface_port export_sound ac_lr export Output 1
135
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.