OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [memory/] [memory.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2013 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 64-Bit
20
# Version 13.0.0 Build 156 04/24/2013 SJ Web Edition
21
# Date created = 20:56:54  May 11, 2013
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               memory_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone IV E"
40
set_global_assignment -name DEVICE EP4CE115F29C7
41
set_global_assignment -name TOP_LEVEL_ENTITY memory
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.0
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:56:54  MAY 11, 2013"
44
set_global_assignment -name LAST_QUARTUS_VERSION 13.0
45
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
49
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
50
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
51
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
52
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
53
set_global_assignment -name SEARCH_PATH /home/alek/aktualne/ao486/rtl
54
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
55
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
56
set_global_assignment -name VERILOG_FILE ../../rtl/altera/altera_prefetch_fifo.v
57
set_global_assignment -name VERILOG_FILE ../../rtl/altera/altera_icache_control_ram.v
58
set_global_assignment -name VERILOG_FILE ../../rtl/altera/altera_dcache_to_icache_fifo.v
59
set_global_assignment -name VERILOG_FILE ../../rtl/altera/altera_dcache_control_ram.v
60
set_global_assignment -name VERILOG_FILE ../../rtl/altera/altera_cache_data_ram.v
61
set_global_assignment -name VERILOG_FILE ../../rtl/memory/tlb_regs.v
62
set_global_assignment -name VERILOG_FILE ../../rtl/memory/tlb_memtype.v
63
set_global_assignment -name VERILOG_FILE ../../rtl/memory/tlb.v
64
set_global_assignment -name VERILOG_FILE ../../rtl/memory/prefetch_fifo.v
65
set_global_assignment -name VERILOG_FILE ../../rtl/memory/prefetch_control.v
66
set_global_assignment -name VERILOG_FILE ../../rtl/memory/prefetch.v
67
set_global_assignment -name VERILOG_FILE ../../rtl/memory/memory_write.v
68
set_global_assignment -name VERILOG_FILE ../../rtl/memory/memory_read.v
69
set_global_assignment -name VERILOG_FILE ../../rtl/memory/memory.v
70
set_global_assignment -name VERILOG_FILE ../../rtl/memory/link_writeline.v
71
set_global_assignment -name VERILOG_FILE ../../rtl/memory/link_writeburst.v
72
set_global_assignment -name VERILOG_FILE ../../rtl/memory/link_readline.v
73
set_global_assignment -name VERILOG_FILE ../../rtl/memory/link_readcode.v
74
set_global_assignment -name VERILOG_FILE ../../rtl/memory/link_readburst.v
75
set_global_assignment -name VERILOG_FILE ../../rtl/memory/link_dcachewrite.v
76
set_global_assignment -name VERILOG_FILE ../../rtl/memory/link_dcacheread.v
77
set_global_assignment -name VERILOG_FILE ../../rtl/memory/icache_read.v
78
set_global_assignment -name VERILOG_FILE ../../rtl/memory/icache_matched.v
79
set_global_assignment -name VERILOG_FILE ../../rtl/memory/icache_control_ram.v
80
set_global_assignment -name VERILOG_FILE ../../rtl/memory/icache.v
81
set_global_assignment -name VERILOG_FILE ../../rtl/memory/dcache_write.v
82
set_global_assignment -name VERILOG_FILE ../../rtl/memory/dcache_to_icache_fifo.v
83
set_global_assignment -name VERILOG_FILE ../../rtl/memory/dcache_read.v
84
set_global_assignment -name VERILOG_FILE ../../rtl/memory/dcache_matched.v
85
set_global_assignment -name VERILOG_FILE ../../rtl/memory/dcache_control_ram.v
86
set_global_assignment -name VERILOG_FILE ../../rtl/memory/dcache.v
87
set_global_assignment -name VERILOG_FILE ../../rtl/memory/cache_data_ram.v
88
set_global_assignment -name VERILOG_FILE ../../rtl/memory/avalon_mem.v
89
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.