OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [sd_card/] [pll/] [pll.v] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 alfik
// megafunction wizard: %ALTPLL%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altpll 
5
 
6
// ============================================================
7
// File Name: pll.v
8
// Megafunction Name(s):
9
//                      altpll
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 14.0.0 Build 200 06/17/2014 SJ Web Edition
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, the Altera Quartus II License Agreement,
29
//the Altera MegaCore Function License Agreement, or other 
30
//applicable license agreement, including, without limitation, 
31
//that your use is for the sole purpose of programming logic 
32
//devices manufactured by Altera and sold by Altera or its 
33
//authorized distributors.  Please refer to the applicable 
34
//agreement for further details.
35
 
36
 
37
// synopsys translate_off
38
`timescale 1 ps / 1 ps
39
// synopsys translate_on
40
module pll (
41
        inclk0,
42
        c0,
43
        locked);
44
 
45
        input     inclk0;
46
        output    c0;
47
        output    locked;
48
 
49
        wire [0:0] sub_wire2 = 1'h0;
50
        wire [4:0] sub_wire3;
51
        wire  sub_wire5;
52
        wire  sub_wire0 = inclk0;
53
        wire [1:0] sub_wire1 = {sub_wire2, sub_wire0};
54
        wire [0:0] sub_wire4 = sub_wire3[0:0];
55
        wire  c0 = sub_wire4;
56
        wire  locked = sub_wire5;
57
 
58
        altpll  altpll_component (
59
                                .inclk (sub_wire1),
60
                                .clk (sub_wire3),
61
                                .locked (sub_wire5),
62
                                .activeclock (),
63
                                .areset (1'b0),
64
                                .clkbad (),
65
                                .clkena ({6{1'b1}}),
66
                                .clkloss (),
67
                                .clkswitch (1'b0),
68
                                .configupdate (1'b0),
69
                                .enable0 (),
70
                                .enable1 (),
71
                                .extclk (),
72
                                .extclkena ({4{1'b1}}),
73
                                .fbin (1'b1),
74
                                .fbmimicbidir (),
75
                                .fbout (),
76
                                .fref (),
77
                                .icdrclk (),
78
                                .pfdena (1'b1),
79
                                .phasecounterselect ({4{1'b1}}),
80
                                .phasedone (),
81
                                .phasestep (1'b1),
82
                                .phaseupdown (1'b1),
83
                                .pllena (1'b1),
84
                                .scanaclr (1'b0),
85
                                .scanclk (1'b0),
86
                                .scanclkena (1'b1),
87
                                .scandata (1'b0),
88
                                .scandataout (),
89
                                .scandone (),
90
                                .scanread (1'b0),
91
                                .scanwrite (1'b0),
92
                                .sclkout0 (),
93
                                .sclkout1 (),
94
                                .vcooverrange (),
95
                                .vcounderrange ());
96
        defparam
97
                altpll_component.bandwidth_type = "AUTO",
98
                altpll_component.clk0_divide_by = 1,
99
                altpll_component.clk0_duty_cycle = 50,
100
                altpll_component.clk0_multiply_by = 1,
101
                altpll_component.clk0_phase_shift = "0",
102
                altpll_component.compensate_clock = "CLK0",
103
                altpll_component.inclk0_input_frequency = 20000,
104
                altpll_component.intended_device_family = "Cyclone IV E",
105
                altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll",
106
                altpll_component.lpm_type = "altpll",
107
                altpll_component.operation_mode = "NORMAL",
108
                altpll_component.pll_type = "AUTO",
109
                altpll_component.port_activeclock = "PORT_UNUSED",
110
                altpll_component.port_areset = "PORT_UNUSED",
111
                altpll_component.port_clkbad0 = "PORT_UNUSED",
112
                altpll_component.port_clkbad1 = "PORT_UNUSED",
113
                altpll_component.port_clkloss = "PORT_UNUSED",
114
                altpll_component.port_clkswitch = "PORT_UNUSED",
115
                altpll_component.port_configupdate = "PORT_UNUSED",
116
                altpll_component.port_fbin = "PORT_UNUSED",
117
                altpll_component.port_inclk0 = "PORT_USED",
118
                altpll_component.port_inclk1 = "PORT_UNUSED",
119
                altpll_component.port_locked = "PORT_USED",
120
                altpll_component.port_pfdena = "PORT_UNUSED",
121
                altpll_component.port_phasecounterselect = "PORT_UNUSED",
122
                altpll_component.port_phasedone = "PORT_UNUSED",
123
                altpll_component.port_phasestep = "PORT_UNUSED",
124
                altpll_component.port_phaseupdown = "PORT_UNUSED",
125
                altpll_component.port_pllena = "PORT_UNUSED",
126
                altpll_component.port_scanaclr = "PORT_UNUSED",
127
                altpll_component.port_scanclk = "PORT_UNUSED",
128
                altpll_component.port_scanclkena = "PORT_UNUSED",
129
                altpll_component.port_scandata = "PORT_UNUSED",
130
                altpll_component.port_scandataout = "PORT_UNUSED",
131
                altpll_component.port_scandone = "PORT_UNUSED",
132
                altpll_component.port_scanread = "PORT_UNUSED",
133
                altpll_component.port_scanwrite = "PORT_UNUSED",
134
                altpll_component.port_clk0 = "PORT_USED",
135
                altpll_component.port_clk1 = "PORT_UNUSED",
136
                altpll_component.port_clk2 = "PORT_UNUSED",
137
                altpll_component.port_clk3 = "PORT_UNUSED",
138
                altpll_component.port_clk4 = "PORT_UNUSED",
139
                altpll_component.port_clk5 = "PORT_UNUSED",
140
                altpll_component.port_clkena0 = "PORT_UNUSED",
141
                altpll_component.port_clkena1 = "PORT_UNUSED",
142
                altpll_component.port_clkena2 = "PORT_UNUSED",
143
                altpll_component.port_clkena3 = "PORT_UNUSED",
144
                altpll_component.port_clkena4 = "PORT_UNUSED",
145
                altpll_component.port_clkena5 = "PORT_UNUSED",
146
                altpll_component.port_extclk0 = "PORT_UNUSED",
147
                altpll_component.port_extclk1 = "PORT_UNUSED",
148
                altpll_component.port_extclk2 = "PORT_UNUSED",
149
                altpll_component.port_extclk3 = "PORT_UNUSED",
150
                altpll_component.self_reset_on_loss_lock = "OFF",
151
                altpll_component.width_clock = 5;
152
 
153
 
154
endmodule
155
 
156
// ============================================================
157
// CNX file retrieval info
158
// ============================================================
159
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
160
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
161
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
162
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
163
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
164
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
165
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
166
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
167
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
168
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
169
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
170
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
171
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
172
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
173
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
174
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any"
175
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
176
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
177
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "50.000000"
178
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
179
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
180
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
181
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
182
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
183
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
184
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
185
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
186
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
187
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
188
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
189
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
190
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
191
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
192
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
193
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
194
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
195
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
196
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
197
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
198
// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
199
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
200
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
201
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
202
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "50.00000000"
203
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
204
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
205
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
206
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
207
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
208
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
209
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
210
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
211
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
212
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
213
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
214
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
215
// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
216
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
217
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
218
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
219
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
220
// Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif"
221
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
222
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
223
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
224
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
225
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
226
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
227
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
228
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
229
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
230
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
231
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
232
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
233
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
234
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
235
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
236
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
237
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
238
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
239
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
240
// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
241
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
242
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
243
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
244
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
245
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
246
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
247
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
248
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
249
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
250
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
251
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
252
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
253
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
254
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
255
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
256
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
257
// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
258
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
259
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
260
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
261
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
262
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
263
// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
264
// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
265
// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
266
// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
267
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
268
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
269
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
270
// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
271
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
272
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
273
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
274
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
275
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
276
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
277
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
278
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
279
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
280
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
281
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
282
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
283
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
284
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
285
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
286
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
287
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
288
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
289
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
290
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
291
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
292
// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
293
// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
294
// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
295
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
296
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
297
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
298
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
299
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
300
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
301
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
302
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE
303
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE
304
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE
305
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE
306
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE
307
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.v FALSE
308
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_bb.v FALSE
309
// Retrieval info: LIB_FILE: altera_mf
310
// Retrieval info: CBX_MODULE_PREFIX: ON

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.