OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [sd_card/] [sd_card_soc.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
 
2
module sd_card_soc(
3
    input                       CLOCK_50,
4
 
5
    output                      SD_CLK,
6
    inout                       SD_CMD,
7
    inout            [3:0]      SD_DAT,
8
    input                       SD_WP_N
9
);
10
 
11
wire clk_40;
12
wire reset_n;
13
 
14
pll pll_inst(
15
    .inclk0         (CLOCK_50),
16
    .c0             (clk_40),
17
    .locked         (reset_n)
18
);
19
 
20
soc u0 (
21
    .clk_clk       (clk_40),
22
    .reset_reset_n (reset_n),
23
    .sd_card_clk   (SD_CLK),
24
    .sd_card_dat   (SD_DAT),
25
    .sd_card_cmd   (SD_CMD)
26
);
27
 
28
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.