OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [sd_card/] [soc.cmp] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
        component soc is
2
                port (
3
                        clk_clk       : in    std_logic                    := 'X';             -- clk
4
                        reset_reset_n : in    std_logic                    := 'X';             -- reset_n
5
                        sd_card_clk   : out   std_logic;                                       -- clk
6
                        sd_card_dat   : inout std_logic_vector(3 downto 0) := (others => 'X'); -- dat
7
                        sd_card_cmd   : inout std_logic                    := 'X'              -- cmd
8
                );
9
        end component soc;
10
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.