OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [sound/] [soc.cmp] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
        component soc is
2
                port (
3
                        clk_sys_clk                              : in    std_logic                    := 'X';             -- clk
4
                        reset_sys_reset_n                        : in    std_logic                    := 'X';             -- reset_n
5
                        clk_12_clk                               : in    std_logic                    := 'X';             -- clk
6
                        reset_12_reset_n                         : in    std_logic                    := 'X';             -- reset_n
7
                        sound_conduit_speaker_enable             : in    std_logic                    := 'X';             -- speaker_enable
8
                        sound_conduit_speaker_out                : in    std_logic                    := 'X';             -- speaker_out
9
                        sound_conduit_dma_soundblaster_req       : out   std_logic;                                       -- dma_soundblaster_req
10
                        sound_conduit_dma_soundblaster_ack       : in    std_logic                    := 'X';             -- dma_soundblaster_ack
11
                        sound_conduit_dma_soundblaster_terminal  : in    std_logic                    := 'X';             -- dma_soundblaster_terminal
12
                        sound_conduit_dma_soundblaster_readdata  : in    std_logic_vector(7 downto 0) := (others => 'X'); -- dma_soundblaster_readdata
13
                        sound_conduit_dma_soundblaster_writedata : out   std_logic_vector(7 downto 0);                    -- dma_soundblaster_writedata
14
                        sound_conduit_ac_sclk                    : out   std_logic;                                       -- ac_sclk
15
                        sound_conduit_ac_sdat                    : inout std_logic                    := 'X';             -- ac_sdat
16
                        sound_conduit_ac_xclk                    : out   std_logic;                                       -- ac_xclk
17
                        sound_conduit_ac_bclk                    : out   std_logic;                                       -- ac_bclk
18
                        sound_conduit_ac_dat                     : out   std_logic;                                       -- ac_dat
19
                        sound_conduit_ac_lr                      : out   std_logic                                        -- ac_lr
20
                );
21
        end component soc;
22
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.