OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [sound/] [sound_soc.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
 
2
module sound_soc(
3
    input   CLOCK_50,
4
 
5
    output  I2C_SCLK,
6
    inout   I2C_SDAT,
7
    output  AUD_XCK,
8
    output  AUD_BCLK,
9
    output  AUD_DACDAT,
10
    output  AUD_DACLRCK
11
);
12
 
13
//------------------------------------------------------------------------------
14
 
15
wire clk_sys;
16
wire clk_12;
17
wire rst_n;
18
 
19
pll pll_inst(
20
    .inclk0     (CLOCK_50),
21
    .c0         (clk_sys),
22
    .c1         (clk_12),
23
    .locked     (rst_n)
24
);
25
 
26
//------------------------------------------------------------------------------
27
 
28
soc u0 (
29
    .clk_sys_clk                              (clk_sys),    //       clk_sys.clk
30
    .reset_sys_reset_n                        (rst_n),      //     reset_sys.reset_n
31
    .clk_12_clk                               (clk_12),     //        clk_12.clk
32
    .reset_12_reset_n                         (rst_n),      //      reset_12.reset_n
33
    .sound_conduit_speaker_enable             (1'b0),       // sound_conduit.speaker_enable
34
    .sound_conduit_speaker_out                (1'b0),       //              .speaker_out
35
    .sound_conduit_dma_soundblaster_req       (),           //              .dma_soundblaster_req
36
    .sound_conduit_dma_soundblaster_ack       (1'b0),       //              .dma_soundblaster_ack
37
    .sound_conduit_dma_soundblaster_terminal  (1'b0),       //              .dma_soundblaster_terminal
38
    .sound_conduit_dma_soundblaster_readdata  (8'd0),       //              .dma_soundblaster_readdata
39
    .sound_conduit_dma_soundblaster_writedata (),           //              .dma_soundblaster_writedata
40
    .sound_conduit_ac_sclk                    (I2C_SCLK),    //              .ac_sclk
41
    .sound_conduit_ac_sdat                    (I2C_SDAT),    //              .ac_sdat
42
    .sound_conduit_ac_xclk                    (AUD_XCK),    //              .ac_xclk
43
    .sound_conduit_ac_bclk                    (AUD_BCLK),    //              .ac_bclk
44
    .sound_conduit_ac_dat                     (AUD_DACDAT),     //              .ac_dat
45
    .sound_conduit_ac_lr                      (AUD_DACLRCK)       //              .ac_lr
46
);
47
 
48
//------------------------------------------------------------------------------
49
 
50
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.