OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [soc/] [soc.qsf] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2013 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 64-Bit
20
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21
# Date created = 10:43:53  October 31, 2013
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               soc_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone IV E"
40
set_global_assignment -name DEVICE EP4CE115F29C7
41
set_global_assignment -name TOP_LEVEL_ENTITY soc
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:43:53  OCTOBER 31, 2013"
44
set_global_assignment -name LAST_QUARTUS_VERSION 13.1
45
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
49
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
50
 
51
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
52
set_location_assignment PIN_Y2 -to CLOCK_50
53
 
54
set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[0]
55
set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[1]
56
set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[2]
57
set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[3]
58
set_location_assignment PIN_M23 -to KEY[0]
59
set_location_assignment PIN_M21 -to KEY[1]
60
set_location_assignment PIN_N21 -to KEY[2]
61
set_location_assignment PIN_R24 -to KEY[3]
62
 
63
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK
64
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT
65
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK2
66
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT2
67
set_location_assignment PIN_G6 -to PS2_CLK
68
set_location_assignment PIN_H5 -to PS2_DAT
69
set_location_assignment PIN_G5 -to PS2_CLK2
70
set_location_assignment PIN_F5 -to PS2_DAT2
71
 
72
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD
73
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK
74
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N
75
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[0]
76
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[1]
77
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[2]
78
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[3]
79
set_location_assignment PIN_AE14 -to SD_DAT[0]
80
set_location_assignment PIN_AF13 -to SD_DAT[1]
81
set_location_assignment PIN_AB14 -to SD_DAT[2]
82
set_location_assignment PIN_AC14 -to SD_DAT[3]
83
set_location_assignment PIN_AE13 -to SD_CLK
84
set_location_assignment PIN_AD14 -to SD_CMD
85
set_location_assignment PIN_AF14 -to SD_WP_N
86
 
87
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
88
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
89
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_SYNC_N
90
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_CLK
91
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_BLANK_N
92
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
93
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
94
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
95
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
96
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[4]
97
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[5]
98
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[6]
99
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[7]
100
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
101
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
102
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
103
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
104
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[4]
105
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[5]
106
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[6]
107
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[7]
108
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
109
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
110
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
111
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
112
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[4]
113
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[5]
114
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[6]
115
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[7]
116
set_location_assignment PIN_D12 -to VGA_B[7]
117
set_location_assignment PIN_D11 -to VGA_B[6]
118
set_location_assignment PIN_C12 -to VGA_B[5]
119
set_location_assignment PIN_A11 -to VGA_B[4]
120
set_location_assignment PIN_B11 -to VGA_B[3]
121
set_location_assignment PIN_C11 -to VGA_B[2]
122
set_location_assignment PIN_B10 -to VGA_B[0]
123
set_location_assignment PIN_A10 -to VGA_B[1]
124
set_location_assignment PIN_H12 -to VGA_G[3]
125
set_location_assignment PIN_C9 -to VGA_G[7]
126
set_location_assignment PIN_F10 -to VGA_G[6]
127
set_location_assignment PIN_B8 -to VGA_G[5]
128
set_location_assignment PIN_C8 -to VGA_G[4]
129
set_location_assignment PIN_F8 -to VGA_G[2]
130
set_location_assignment PIN_G11 -to VGA_G[1]
131
set_location_assignment PIN_G8 -to VGA_G[0]
132
set_location_assignment PIN_H10 -to VGA_R[7]
133
set_location_assignment PIN_H8 -to VGA_R[6]
134
set_location_assignment PIN_J12 -to VGA_R[5]
135
set_location_assignment PIN_G10 -to VGA_R[4]
136
set_location_assignment PIN_F12 -to VGA_R[3]
137
set_location_assignment PIN_D10 -to VGA_R[2]
138
set_location_assignment PIN_E11 -to VGA_R[1]
139
set_location_assignment PIN_E12 -to VGA_R[0]
140
set_location_assignment PIN_A12 -to VGA_CLK
141
set_location_assignment PIN_F11 -to VGA_BLANK_N
142
set_location_assignment PIN_C10 -to VGA_SYNC_N
143
set_location_assignment PIN_G13 -to VGA_HS
144
set_location_assignment PIN_C13 -to VGA_VS
145
 
146
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCLRCK
147
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCDAT
148
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACLRCK
149
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACDAT
150
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_XCK
151
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_BCLK
152
set_location_assignment PIN_D1 -to AUD_DACDAT
153
set_location_assignment PIN_E3 -to AUD_DACLRCK
154
set_location_assignment PIN_D2 -to AUD_ADCDAT
155
set_location_assignment PIN_C2 -to AUD_ADCLRCK
156
set_location_assignment PIN_E1 -to AUD_XCK
157
set_location_assignment PIN_F2 -to AUD_BCLK
158
 
159
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK
160
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT
161
set_location_assignment PIN_B7 -to I2C_SCLK
162
set_location_assignment PIN_A8 -to I2C_SDAT
163
 
164
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0]
165
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1]
166
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[0]
167
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[1]
168
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[2]
169
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[3]
170
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
171
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
172
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
173
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
174
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
175
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
176
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
177
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
178
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
179
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
180
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
181
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
182
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
183
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
184
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
185
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
186
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
187
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
188
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
189
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
190
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
191
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
192
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[16]
193
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[17]
194
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[18]
195
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[19]
196
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[20]
197
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[21]
198
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[22]
199
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[23]
200
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[24]
201
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[25]
202
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[26]
203
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[27]
204
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[28]
205
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[29]
206
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[30]
207
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[31]
208
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
209
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
210
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
211
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
212
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
213
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
214
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
215
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
216
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
217
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
218
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
219
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
220
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
221
set_location_assignment PIN_U1 -to DRAM_DQ[31]
222
set_location_assignment PIN_U4 -to DRAM_DQ[30]
223
set_location_assignment PIN_T3 -to DRAM_DQ[29]
224
set_location_assignment PIN_R3 -to DRAM_DQ[28]
225
set_location_assignment PIN_R2 -to DRAM_DQ[27]
226
set_location_assignment PIN_R1 -to DRAM_DQ[26]
227
set_location_assignment PIN_R7 -to DRAM_DQ[25]
228
set_location_assignment PIN_U5 -to DRAM_DQ[24]
229
set_location_assignment PIN_M8 -to DRAM_DQ[16]
230
set_location_assignment PIN_L8 -to DRAM_DQ[17]
231
set_location_assignment PIN_P2 -to DRAM_DQ[18]
232
set_location_assignment PIN_N3 -to DRAM_DQ[19]
233
set_location_assignment PIN_N4 -to DRAM_DQ[20]
234
set_location_assignment PIN_M4 -to DRAM_DQ[21]
235
set_location_assignment PIN_M7 -to DRAM_DQ[22]
236
set_location_assignment PIN_L7 -to DRAM_DQ[23]
237
set_location_assignment PIN_Y3 -to DRAM_DQ[8]
238
set_location_assignment PIN_Y4 -to DRAM_DQ[9]
239
set_location_assignment PIN_AB1 -to DRAM_DQ[10]
240
set_location_assignment PIN_AA3 -to DRAM_DQ[11]
241
set_location_assignment PIN_AB2 -to DRAM_DQ[12]
242
set_location_assignment PIN_AC1 -to DRAM_DQ[13]
243
set_location_assignment PIN_AB3 -to DRAM_DQ[14]
244
set_location_assignment PIN_AC2 -to DRAM_DQ[15]
245
set_location_assignment PIN_W3 -to DRAM_DQ[0]
246
set_location_assignment PIN_W2 -to DRAM_DQ[1]
247
set_location_assignment PIN_V4 -to DRAM_DQ[2]
248
set_location_assignment PIN_W1 -to DRAM_DQ[3]
249
set_location_assignment PIN_V3 -to DRAM_DQ[4]
250
set_location_assignment PIN_V2 -to DRAM_DQ[5]
251
set_location_assignment PIN_V1 -to DRAM_DQ[6]
252
set_location_assignment PIN_U3 -to DRAM_DQ[7]
253
set_location_assignment PIN_W4 -to DRAM_DQM[1]
254
set_location_assignment PIN_K8 -to DRAM_DQM[2]
255
set_location_assignment PIN_U2 -to DRAM_DQM[0]
256
set_location_assignment PIN_N8 -to DRAM_DQM[3]
257
set_location_assignment PIN_U6 -to DRAM_RAS_N
258
set_location_assignment PIN_V7 -to DRAM_CAS_N
259
set_location_assignment PIN_AA6 -to DRAM_CKE
260
set_location_assignment PIN_V6 -to DRAM_WE_N
261
set_location_assignment PIN_T4 -to DRAM_CS_N
262
set_location_assignment PIN_U7 -to DRAM_BA[0]
263
set_location_assignment PIN_R4 -to DRAM_BA[1]
264
set_location_assignment PIN_Y7 -to DRAM_ADDR[12]
265
set_location_assignment PIN_AA5 -to DRAM_ADDR[11]
266
set_location_assignment PIN_R5 -to DRAM_ADDR[10]
267
set_location_assignment PIN_Y6 -to DRAM_ADDR[9]
268
set_location_assignment PIN_Y5 -to DRAM_ADDR[8]
269
set_location_assignment PIN_AA7 -to DRAM_ADDR[7]
270
set_location_assignment PIN_W7 -to DRAM_ADDR[6]
271
set_location_assignment PIN_W8 -to DRAM_ADDR[5]
272
set_location_assignment PIN_V5 -to DRAM_ADDR[4]
273
set_location_assignment PIN_R6 -to DRAM_ADDR[0]
274
set_location_assignment PIN_V8 -to DRAM_ADDR[1]
275
set_location_assignment PIN_U8 -to DRAM_ADDR[2]
276
set_location_assignment PIN_P1 -to DRAM_ADDR[3]
277
set_location_assignment PIN_AE5 -to DRAM_CLK
278
 
279
set_location_assignment PIN_AB28 -to SW[0]
280
set_location_assignment PIN_AC28 -to SW[1]
281
set_location_assignment PIN_AC27 -to SW[2]
282
set_location_assignment PIN_AD27 -to SW[3]
283
set_location_assignment PIN_AB27 -to SW[4]
284
set_location_assignment PIN_AC26 -to SW[5]
285
set_location_assignment PIN_AD26 -to SW[6]
286
set_location_assignment PIN_AB26 -to SW[7]
287
set_location_assignment PIN_AC25 -to SW[8]
288
set_location_assignment PIN_AB25 -to SW[9]
289
set_location_assignment PIN_AC24 -to SW[10]
290
set_location_assignment PIN_AB24 -to SW[11]
291
set_location_assignment PIN_AB23 -to SW[12]
292
set_location_assignment PIN_AA24 -to SW[13]
293
set_location_assignment PIN_AA23 -to SW[14]
294
set_location_assignment PIN_AA22 -to SW[15]
295
set_location_assignment PIN_Y24 -to SW[16]
296
set_location_assignment PIN_Y23 -to SW[17]
297
 
298
set_location_assignment PIN_A17 -to ENET0_GTX_CLK
299
set_location_assignment PIN_A21 -to ENET0_INT_N
300
set_location_assignment PIN_C20 -to ENET0_MDC
301
set_location_assignment PIN_B21 -to ENET0_MDIO
302
set_location_assignment PIN_C19 -to ENET0_RST_N
303
set_location_assignment PIN_A15 -to ENET0_RX_CLK
304
set_location_assignment PIN_E15 -to ENET0_RX_COL
305
set_location_assignment PIN_D15 -to ENET0_RX_CRS
306
set_location_assignment PIN_C16 -to ENET0_RX_DATA[0]
307
set_location_assignment PIN_D16 -to ENET0_RX_DATA[1]
308
set_location_assignment PIN_D17 -to ENET0_RX_DATA[2]
309
set_location_assignment PIN_C15 -to ENET0_RX_DATA[3]
310
set_location_assignment PIN_C17 -to ENET0_RX_DV
311
set_location_assignment PIN_D18 -to ENET0_RX_ER
312
set_location_assignment PIN_B17 -to ENET0_TX_CLK
313
set_location_assignment PIN_C18 -to ENET0_TX_DATA[0]
314
set_location_assignment PIN_D19 -to ENET0_TX_DATA[1]
315
set_location_assignment PIN_A19 -to ENET0_TX_DATA[2]
316
set_location_assignment PIN_B19 -to ENET0_TX_DATA[3]
317
set_location_assignment PIN_A18 -to ENET0_TX_EN
318
set_location_assignment PIN_B18 -to ENET0_TX_ER
319
 
320
set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to KEY
321
set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to SW
322
 
323
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
324
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
325
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
326
set_global_assignment -name SEARCH_PATH ./../../rtl/ao486
327
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
328
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
329
set_global_assignment -name ENABLE_SIGNALTAP OFF
330
set_global_assignment -name USE_SIGNALTAP_FILE output_files/stp_win95_ao486.stp
331
set_global_assignment -name VERILOG_FILE ../../rtl/common/simple_single_rom.v
332
set_global_assignment -name VERILOG_FILE ../../rtl/common/simple_bidir_ram.v
333
set_global_assignment -name VERILOG_FILE ../../rtl/common/simple_rom.v
334
set_global_assignment -name VERILOG_FILE ../../rtl/common/simple_ram.v
335
set_global_assignment -name VERILOG_FILE ../../rtl/common/simple_mult.v
336
set_global_assignment -name VERILOG_FILE ../../rtl/common/simple_fifo.v
337
set_global_assignment -name QIP_FILE system/synthesis/system.qip
338
set_global_assignment -name VERILOG_FILE ../../rtl/soc/soc.v
339
set_global_assignment -name QIP_FILE altera/pll.qip
340
set_global_assignment -name SDC_FILE soc.sdc
341
set_global_assignment -name SIGNALTAP_FILE output_files/pc_bus.stp
342
set_global_assignment -name SIGNALTAP_FILE output_files/stp_ao486_io.stp
343
set_global_assignment -name SIGNALTAP_FILE output_files/stp_interrupt.stp
344
set_global_assignment -name SIGNALTAP_FILE output_files/stp_floppy.stp
345
set_global_assignment -name SIGNALTAP_FILE output_files/stp_dma.stp
346
set_global_assignment -name SIGNALTAP_FILE output_files/stp_vga.stp
347
set_global_assignment -name SIGNALTAP_FILE output_files/stp_ram_irq.stp
348
set_global_assignment -name SIGNALTAP_FILE output_files/stp_vga_color.stp
349
set_global_assignment -name SIGNALTAP_FILE output_files/stp_hdd.stp
350
set_global_assignment -name SIGNALTAP_FILE output_files/stp_ps2.stp
351
set_global_assignment -name SIGNALTAP_FILE output_files/stp_regs.stp
352
set_global_assignment -name SIGNALTAP_FILE output_files/stp_win311_start.stp
353
set_global_assignment -name SIGNALTAP_FILE output_files/stp_win311_start_eip.stp
354
set_global_assignment -name SIGNALTAP_FILE output_files/stp_win311_tlb.stp
355
set_global_assignment -name SIGNALTAP_FILE output_files/stp_rtc_pit.stp
356
set_global_assignment -name CDF_FILE Chain1.cdf
357
set_global_assignment -name SIGNALTAP_FILE output_files/stp_win95_ao486.stp
358
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.