OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [spi_agent/] [spi_seqr.sv] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 vlnaran
 
2
typedef uvm_sequencer #(spi_seq_item) spi_sequencer;
3
/*class spi_seqr extends uvm_sequencer#(spi_seq_item);
4
        `uvm_component_utils(spi_seqr)
5
 
6
function new(string name,uvm_component parent);
7
        super.new(name,parent);
8
endfunction
9
 
10
endclass
11
*/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.