OpenCores
URL https://opencores.org/ocsvn/arm4u/arm4u/trunk

Subversion Repositories arm4u

[/] [arm4u/] [trunk/] [hdl/] [arm_types.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 Bregalad
-- This file is part of ARM4U CPU
2
-- 
3
-- This is a creation of the Laboratory of Processor Architecture
4
-- of Ecole Polytechnique Fédérale de Lausanne ( http://lap.epfl.ch )
5
--
6
-- arm_types.vhd  --  Package containing types for the whole project
7
--
8
-- Written By -  Jonathan Masur and Xavier Jimenez (2013)
9
--
10
-- This program is free software; you can redistribute it and/or modify it
11
-- under the terms of the GNU General Public License as published by the
12
-- Free Software Foundation; either version 2, or (at your option) any
13
-- later version.
14
--
15
-- This program is distributed in the hope that it will be useful,
16
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
17
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
-- GNU General Public License for more details.
19
--
20
-- In other words, you are welcome to use, share and improve this program.
21
-- You are forbidden to forbid anyone else to use, share and improve
22
-- what you give them.   Help stamp out software-hoarding!
23
 
24
library ieee;
25
use ieee.std_logic_1164.all;
26
 
27
package arm_types is
28
 
29
        -- Condition flags (top 4 bits of ARM instruction)
30
        constant COND_EQ : std_logic_vector(3 downto 0) := "0000";
31
        constant COND_NE : std_logic_vector(3 downto 0) := "0001";
32
        constant COND_CS : std_logic_vector(3 downto 0) := "0010";
33
        constant COND_CC : std_logic_vector(3 downto 0) := "0011";
34
        constant COND_MI : std_logic_vector(3 downto 0) := "0100";
35
        constant COND_PL : std_logic_vector(3 downto 0) := "0101";
36
        constant COND_VS : std_logic_vector(3 downto 0) := "0110";
37
        constant COND_VC : std_logic_vector(3 downto 0) := "0111";
38
        constant COND_HI : std_logic_vector(3 downto 0) := "1000";
39
        constant COND_LS : std_logic_vector(3 downto 0) := "1001";
40
        constant COND_GE : std_logic_vector(3 downto 0) := "1010";
41
        constant COND_LT : std_logic_vector(3 downto 0) := "1011";
42
        constant COND_GT : std_logic_vector(3 downto 0) := "1100";
43
        constant COND_LE : std_logic_vector(3 downto 0) := "1101";
44
        constant COND_AL : std_logic_vector(3 downto 0) := "1110";
45
 
46
        -- register re-mapping at decode stage
47
        constant r0 : std_logic_vector(4 downto 0) := "00000";
48
        constant r1 : std_logic_vector(4 downto 0) := "00001";
49
        constant r2 : std_logic_vector(4 downto 0) := "00010";
50
        constant r3 : std_logic_vector(4 downto 0) := "00011";
51
        constant r4 : std_logic_vector(4 downto 0) := "00100";
52
        constant r5 : std_logic_vector(4 downto 0) := "00101";
53
        constant r6 : std_logic_vector(4 downto 0) := "00110";
54
        constant r7 : std_logic_vector(4 downto 0) := "00111";
55
        constant r8 : std_logic_vector(4 downto 0) := "01000";
56
        constant r9 : std_logic_vector(4 downto 0) := "01001";
57
        constant r10 : std_logic_vector(4 downto 0) := "01010";
58
        constant r11 : std_logic_vector(4 downto 0) := "01011";
59
        constant r12 : std_logic_vector(4 downto 0) := "01100";
60
        constant r13 : std_logic_vector(4 downto 0) := "01101";
61
        constant r14 : std_logic_vector(4 downto 0) := "01110";
62
        constant fiq_r8 : std_logic_vector(4 downto 0) := "01111";
63
        constant fiq_r9 : std_logic_vector(4 downto 0) := "10000";
64
        constant fiq_r10 : std_logic_vector(4 downto 0) := "10001";
65
        constant fiq_r11 : std_logic_vector(4 downto 0) := "10010";
66
        constant fiq_r12 : std_logic_vector(4 downto 0) := "10011";
67
        constant fiq_r13 : std_logic_vector(4 downto 0) := "10100";
68
        constant sup_r13 : std_logic_vector(4 downto 0) := "10101";
69
        constant irq_r13 : std_logic_vector(4 downto 0) := "10110";
70
        constant und_r13 : std_logic_vector(4 downto 0) := "10111";
71
        constant fiq_r14 : std_logic_vector(4 downto 0) := "11000";
72
        constant sup_r14 : std_logic_vector(4 downto 0) := "11001";
73
        constant irq_r14 : std_logic_vector(4 downto 0) := "11010";
74
        constant und_r14 : std_logic_vector(4 downto 0) := "11011";
75
        constant fiq_spsr : std_logic_vector(4 downto 0) := "11100";
76
        constant sup_spsr : std_logic_vector(4 downto 0) := "11101";
77
        constant irq_spsr : std_logic_vector(4 downto 0) := "11110";
78
        constant und_spsr : std_logic_vector(4 downto 0) := "11111";
79
 
80
        -- Finite state machine inside the Decode pipeline stage
81
        type DECODE_FSM is (MAIN_STATE, RETURN_FROM_EXCEPTION, TWO_LATENCY_CYCLES, ONE_LATENCY_CYCLE,  LOADSTORE_WRITEBACK,
82
                                                LDMSTM_TRANSFER, LDMSTM_RETURN_FROM_EXCEPTION, LDMSTM_WRITEBACK,
83
                                                RESET_CYCLE2, UNDEF_CYCLE2, SWI_CYCLE2, IRQ_CYCLE2, FIQ_CYCLE2);
84
 
85
        -- List of arithmetic and logical operations which can be performed in the execute pipeline stage
86
        type ALU_OPERATION is (ALU_NOP, ALU_NOT, ALU_ORR, ALU_AND, ALU_EOR, ALU_BIC, ALU_RWF, ALU_ADD, ALU_ADC, ALU_SUB, ALU_SBC, ALU_RSB, ALU_RSC);
87
 
88
        -- List of memory-related operation that can be perfored in the memory pipeline stage
89
        type MEM_OPERATION is (NO_MEM_OP, LOAD_WORD, LOAD_BYTE, LOAD_BURST, STORE_WORD, STORE_BYTE);
90
 
91
end package;
92
 
93
package body arm_types is
94
 
95
end package body;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.