OpenCores
URL https://opencores.org/ocsvn/artec_dongle_ii_fpga/artec_dongle_ii_fpga/trunk

Subversion Repositories artec_dongle_ii_fpga

[/] [artec_dongle_ii_fpga/] [trunk/] [src/] [dongle_arch/] [dongle_arch.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 nuubik
library ieee;
2
use ieee.std_logic_1164.all;
3
use IEEE.std_logic_unsigned.all;
4
use IEEE.std_logic_arith.all;
5
 
6
 
7
package dongle_arch is
8
 
9
 
10
--VCI bus types
11
type vci_slave_in is record
12
    lpc_addr   : std_logic_vector(15 downto 0); --shared address
13
    lpc_wr     : std_logic;         --shared write not read
14
    lpc_data_o : std_logic_vector(7 downto 0);
15
    lpc_val    : std_logic;
16
end record;
17
 
18
type vci_slave_out is record
19
    lpc_data_i : std_logic_vector(7 downto 0);
20
    lpc_ack    : std_logic;
21
    lpc_irq    : std_logic;
22
end record;
23
 
24
        procedure vci_slave_reset(signal vci : out vci_slave_out);
25
 
26
 
27
end package dongle_arch;
28
 
29
 
30
 
31
 
32
package body dongle_arch is
33
 
34
        procedure vci_slave_reset(
35
                signal vci : out vci_slave_out) is
36
                variable v : vci_slave_out;
37
        begin
38
                v.lpc_ack:='0';
39
                v.lpc_irq:='0';
40
                v.lpc_data_i:=x"00";
41
                vci<=v;
42
        end procedure vci_slave_reset;
43
 
44
 
45
end package body dongle_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.