OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] [af_template.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ojosynariz
----------------------------------------------------------------------------------
2
-- Company:
3
-- Engineer: User
4
--
5
-- Create Date:
6
-- Design Name: Configurable ANN
7
-- Module Name: af_template - Behavioral
8
-- Project Name:
9
-- Target Devices:
10
-- Tool versions:
11
-- Description: User activation function template.
12
--
13
-- Dependencies:
14
--
15
-- Revision:
16
-- Revision 0.01 - File Created
17
-- Additional Comments:
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use ieee.numeric_std.ALL;
23
use ieee.math_real.all;
24
 
25
-- Only entity name must be changed, please do not modify the template entity:
26
entity af_template is
27
   generic
28
   (
29
      Nbit : natural := 8
30
   );
31
   port
32
   (
33
      reset   : in  std_logic;
34
      clk     : in  std_logic;
35
      run_in  : in  std_logic; -- Start and input data validation
36
      inputs  : in  std_logic_vector(Nbit-1 downto 0); -- Input data
37
      run_out : out std_logic; -- Output data validation, run_in for the next layer
38
      outputs : out std_logic_vector(Nbit-1 downto 0) -- Output data
39
   );
40
end af_template;
41
 
42
 
43
architecture Behavioral of af_template is
44
   -- Add here user constants, internal signals, and other user definitions:
45
 
46
begin
47
   -- Add here user logic to describe the user activation function:
48
 
49
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.