OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] [mac.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ojosynariz
----------------------------------------------------------------------------------
2
-- Company: CEI
3
-- Engineer: David Aledo
4
--
5
-- Create Date:
6
-- Design Name:    Configurable ANN
7
-- Module Name:    mac - Behavioral
8
-- Project Name:
9
-- Target Devices:
10
-- Tool versions:
11
-- Description: Multiplier and accumulator (MAC).
12
--
13
-- Dependencies:
14
--
15
-- Revision:
16
-- Revision 0.01 - File Created
17
-- Additional Comments:
18
--
19
----------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
use ieee.numeric_std.all;
24
 
25
 
26
entity mac is
27
   generic
28
   (
29
      dirload : boolean := FALSE; -- Direct load. Load accumulator with port C value (TRUE) or A*B + C (FALSE)
30
      NbOvrf  : natural := 3;   ---- Extra bits in acc to avoid overflow
31
      NbitIn  : natural := 16;   --- Bit width of the input data
32
      NbitC   : natural := 18   ---- Bit width of weight and bias
33
   );
34
   port
35
   (
36
      CLK  : in  std_logic;
37
      RST  : in  std_logic;
38
      A    : in  STD_LOGIC_VECTOR (NbitIn-1 DOWNTO 0); -- Input data
39
      B    : in  STD_LOGIC_VECTOR (NbitC-1 DOWNTO 0);  -- Weights
40
      C    : in  std_logic_vector (NbitC-1 downto 0);  -- Bias
41
      P    : out std_logic_vector (NbitIn+NbitC+NbOvrf DOWNTO 0); -- Output data
42
      CE1  : in  std_logic;  -- Multiplier eneble
43
      CE2  : in  std_logic;  -- Accumulator enable
44
      LOAD : in  std_logic   -- Load signal. Resets the accumulator with value determined by dirload parameter
45
      );
46
end mac;
47
 
48
architecture Behavioral of mac is
49
 
50
   signal acc  : signed (NbitIn+NbitC+NbOvrf DOWNTO 0) := (others => '0'); -- Accumulator register
51
   signal Mreg : signed (NbitIn+NbitC-1 DOWNTO 0) := (others => '0');  -- Multiplier output register
52
 
53
begin
54
 
55
   process (CLK)
56
   begin
57
      if CLK'event and CLK = '1' then
58
         if RST = '1' then
59
            acc  <= (others => '0');
60
            Mreg <= (others => '0');
61
         else
62
            if CE1 = '1' then
63
               Mreg <= signed(A)*signed(B);
64
            end if;
65
            if CE2 = '1' then
66
               if LOAD = '1' then
67
                  if dirload then
68
                     -- Load acc with port C value (bias):
69
                     acc <= resize(signed(C),NbitIn+NbitC+NbOvrf+1); -- Sign extension
70
                  else
71
                     -- Load acc with A*B + C (bias):
72
                     acc <= resize(signed(C),NbitIn+NbitC+NbOvrf+1) + Mreg;
73
                  end if;
74
               else
75
                  acc <= acc + Mreg;
76
               end if;
77
            end if;
78
         end if;
79
      end if;
80
   end process;
81
 
82
   P <= std_logic_vector(acc);
83
 
84
end Behavioral;
85
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.