OpenCores
URL https://opencores.org/ocsvn/astron_ram/astron_ram/trunk

Subversion Repositories astron_ram

[/] [astron_ram/] [trunk/] [ip_stratixiv_ram_crw_crw.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-- megafunction wizard: %RAM: 2-PORT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: ip_stratixiv_ram_crw_crw.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 10.0 Build 218 06/27/2010 SJ Full Version
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2010 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
LIBRARY technology_lib;
43
USE technology_lib.technology_pkg.ALL;
44
 
45
ENTITY ip_stratixiv_ram_crw_crw IS
46
  GENERIC (
47
    g_adr_w      : NATURAL := 5;
48
    g_dat_w      : NATURAL := 8;
49
    g_nof_words  : NATURAL := 2**5;
50
    g_rd_latency : NATURAL := 2;  -- choose 1 or 2
51
    g_init_file  : STRING  := "UNUSED"
52
  );
53
        PORT
54
        (
55
                address_a               : IN STD_LOGIC_VECTOR (g_adr_w-1 DOWNTO 0);
56
                address_b               : IN STD_LOGIC_VECTOR (g_adr_w-1 DOWNTO 0);
57
                clock_a         : IN STD_LOGIC  := '1';
58
                clock_b         : IN STD_LOGIC ;
59
                data_a          : IN STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
60
                data_b          : IN STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
61
                enable_a                : IN STD_LOGIC  := '1';
62
                enable_b                : IN STD_LOGIC  := '1';
63
                rden_a          : IN STD_LOGIC  := '1';
64
                rden_b          : IN STD_LOGIC  := '1';
65
                wren_a          : IN STD_LOGIC  := '0';
66
                wren_b          : IN STD_LOGIC  := '0';
67
                q_a             : OUT STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
68
                q_b             : OUT STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0)
69
        );
70
END ip_stratixiv_ram_crw_crw;
71
 
72
 
73
ARCHITECTURE SYN OF ip_stratixiv_ram_crw_crw IS
74
 
75
  CONSTANT c_outdata_reg_a : STRING := tech_sel_a_b(g_rd_latency=1, "UNREGISTERED", "CLOCK0");
76
  CONSTANT c_outdata_reg_b : STRING := tech_sel_a_b(g_rd_latency=1, "UNREGISTERED", "CLOCK1");
77
 
78
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
79
        SIGNAL sub_wire1        : STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
80
 
81
 
82
 
83
        COMPONENT altsyncram
84
        GENERIC (
85
                address_reg_b           : STRING;
86
                clock_enable_input_a            : STRING;
87
                clock_enable_input_b            : STRING;
88
                clock_enable_output_a           : STRING;
89
                clock_enable_output_b           : STRING;
90
                indata_reg_b            : STRING;
91
                init_file               : STRING;
92
                intended_device_family          : STRING;
93
                lpm_type                : STRING;
94
                numwords_a              : NATURAL;
95
                numwords_b              : NATURAL;
96
                operation_mode          : STRING;
97
                outdata_aclr_a          : STRING;
98
                outdata_aclr_b          : STRING;
99
                outdata_reg_a           : STRING;
100
                outdata_reg_b           : STRING;
101
                power_up_uninitialized          : STRING;
102
                read_during_write_mode_port_a           : STRING;
103
                read_during_write_mode_port_b           : STRING;
104
                widthad_a               : NATURAL;
105
                widthad_b               : NATURAL;
106
                width_a         : NATURAL;
107
                width_b         : NATURAL;
108
                width_byteena_a         : NATURAL;
109
                width_byteena_b         : NATURAL;
110
                wrcontrol_wraddress_reg_b               : STRING
111
        );
112
        PORT (
113
                        clocken0        : IN STD_LOGIC ;
114
                        clocken1        : IN STD_LOGIC ;
115
                        wren_a  : IN STD_LOGIC ;
116
                        rden_a  : IN STD_LOGIC ;
117
                        clock0  : IN STD_LOGIC ;
118
                        wren_b  : IN STD_LOGIC ;
119
                        rden_b  : IN STD_LOGIC ;
120
                        clock1  : IN STD_LOGIC ;
121
                        address_a       : IN STD_LOGIC_VECTOR (g_adr_w-1 DOWNTO 0);
122
                        address_b       : IN STD_LOGIC_VECTOR (g_adr_w-1 DOWNTO 0);
123
                        q_a     : OUT STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
124
                        q_b     : OUT STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
125
                        data_a  : IN STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
126
                        data_b  : IN STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0)
127
        );
128
        END COMPONENT;
129
 
130
BEGIN
131
        q_a    <= sub_wire0(g_dat_w-1 DOWNTO 0);
132
        q_b    <= sub_wire1(g_dat_w-1 DOWNTO 0);
133
 
134
        altsyncram_component : altsyncram
135
        GENERIC MAP (
136
                address_reg_b => "CLOCK1",
137
                clock_enable_input_a => "NORMAL",
138
                clock_enable_input_b => "NORMAL",
139
                clock_enable_output_a => "BYPASS",
140
                clock_enable_output_b => "BYPASS",
141
                indata_reg_b => "CLOCK1",
142
                init_file => g_init_file,
143
                intended_device_family => "Stratix IV",
144
                lpm_type => "altsyncram",
145
                numwords_a => g_nof_words,
146
                numwords_b => g_nof_words,
147
                operation_mode => "BIDIR_DUAL_PORT",
148
                outdata_aclr_a => "NONE",
149
                outdata_aclr_b => "NONE",
150
                outdata_reg_a => c_outdata_reg_a,
151
                outdata_reg_b => c_outdata_reg_b,
152
                power_up_uninitialized => "FALSE",
153
                read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
154
                read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ",
155
                widthad_a => g_adr_w,
156
                widthad_b => g_adr_w,
157
                width_a => g_dat_w,
158
                width_b => g_dat_w,
159
                width_byteena_a => 1,
160
                width_byteena_b => 1,
161
                wrcontrol_wraddress_reg_b => "CLOCK1"
162
        )
163
        PORT MAP (
164
                clocken0 => enable_a,
165
                clocken1 => enable_b,
166
                wren_a => wren_a,
167
                rden_a => rden_a,
168
                clock0 => clock_a,
169
                wren_b => wren_b,
170
                rden_b => rden_b,
171
                clock1 => clock_b,
172
                address_a => address_a,
173
                address_b => address_b,
174
                data_a => data_a,
175
                data_b => data_b,
176
                q_a => sub_wire0,
177
                q_b => sub_wire1
178
        );
179
 
180
 
181
 
182
END SYN;
183
 
184
-- ============================================================
185
-- CNX file retrieval info
186
-- ============================================================
187
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
188
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
189
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
190
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
191
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
192
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
193
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "9"
194
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
195
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1"
196
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "1"
197
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
198
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "1"
199
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
200
-- Retrieval info: PRIVATE: CLRq NUMERIC "0"
201
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
202
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
203
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
204
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
205
-- Retrieval info: PRIVATE: Clock NUMERIC "5"
206
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
207
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
208
-- Retrieval info: PRIVATE: ECC NUMERIC "0"
209
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
210
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
211
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1"
212
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
213
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
214
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix IV"
215
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
216
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
217
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
218
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "576"
219
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
220
-- Retrieval info: PRIVATE: MIFfilename STRING "fft_3n1024sin.hex"
221
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3"
222
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
223
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
224
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
225
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
226
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
227
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
228
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
229
-- Retrieval info: PRIVATE: REGq NUMERIC "0"
230
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0"
231
-- Retrieval info: PRIVATE: REGrren NUMERIC "1"
232
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
233
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
234
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
235
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
236
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
237
-- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
238
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "18"
239
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "18"
240
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "18"
241
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "18"
242
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
243
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1"
244
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
245
-- Retrieval info: PRIVATE: enable NUMERIC "1"
246
-- Retrieval info: PRIVATE: rden NUMERIC "1"
247
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
248
-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1"
249
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "NORMAL"
250
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "NORMAL"
251
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
252
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
253
-- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK1"
254
-- Retrieval info: CONSTANT: INIT_FILE STRING "fft_3n1024sin.hex"
255
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix IV"
256
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
257
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32"
258
-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "32"
259
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT"
260
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
261
-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
262
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
263
-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
264
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
265
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
266
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ"
267
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "5"
268
-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "5"
269
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "18"
270
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "18"
271
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
272
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1"
273
-- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK1"
274
-- Retrieval info: USED_PORT: address_a 0 0 5 0 INPUT NODEFVAL "address_a[4..0]"
275
-- Retrieval info: USED_PORT: address_b 0 0 5 0 INPUT NODEFVAL "address_b[4..0]"
276
-- Retrieval info: USED_PORT: clock_a 0 0 0 0 INPUT VCC "clock_a"
277
-- Retrieval info: USED_PORT: clock_b 0 0 0 0 INPUT NODEFVAL "clock_b"
278
-- Retrieval info: USED_PORT: data_a 0 0 18 0 INPUT NODEFVAL "data_a[17..0]"
279
-- Retrieval info: USED_PORT: data_b 0 0 18 0 INPUT NODEFVAL "data_b[17..0]"
280
-- Retrieval info: USED_PORT: enable_a 0 0 0 0 INPUT VCC "enable_a"
281
-- Retrieval info: USED_PORT: enable_b 0 0 0 0 INPUT VCC "enable_b"
282
-- Retrieval info: USED_PORT: q_a 0 0 18 0 OUTPUT NODEFVAL "q_a[17..0]"
283
-- Retrieval info: USED_PORT: q_b 0 0 18 0 OUTPUT NODEFVAL "q_b[17..0]"
284
-- Retrieval info: USED_PORT: rden_a 0 0 0 0 INPUT VCC "rden_a"
285
-- Retrieval info: USED_PORT: rden_b 0 0 0 0 INPUT VCC "rden_b"
286
-- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a"
287
-- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b"
288
-- Retrieval info: CONNECT: @address_a 0 0 5 0 address_a 0 0 5 0
289
-- Retrieval info: CONNECT: @address_b 0 0 5 0 address_b 0 0 5 0
290
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock_a 0 0 0 0
291
-- Retrieval info: CONNECT: @clock1 0 0 0 0 clock_b 0 0 0 0
292
-- Retrieval info: CONNECT: @clocken0 0 0 0 0 enable_a 0 0 0 0
293
-- Retrieval info: CONNECT: @clocken1 0 0 0 0 enable_b 0 0 0 0
294
-- Retrieval info: CONNECT: @data_a 0 0 18 0 data_a 0 0 18 0
295
-- Retrieval info: CONNECT: @data_b 0 0 18 0 data_b 0 0 18 0
296
-- Retrieval info: CONNECT: @rden_a 0 0 0 0 rden_a 0 0 0 0
297
-- Retrieval info: CONNECT: @rden_b 0 0 0 0 rden_b 0 0 0 0
298
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0
299
-- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0
300
-- Retrieval info: CONNECT: q_a 0 0 18 0 @q_a 0 0 18 0
301
-- Retrieval info: CONNECT: q_b 0 0 18 0 @q_b 0 0 18 0
302
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crw_crw.vhd TRUE
303
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crw_crw.inc FALSE
304
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crw_crw.cmp TRUE
305
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crw_crw.bsf FALSE
306
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crw_crw_inst.vhd FALSE
307
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crw_crw_waveforms.html TRUE
308
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crw_crw_wave*.jpg FALSE
309
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.