OpenCores
URL https://opencores.org/ocsvn/async_8b10b_encoder_decoder/async_8b10b_encoder_decoder/trunk

Subversion Repositories async_8b10b_encoder_decoder

[/] [async_8b10b_encoder_decoder/] [trunk/] [Dec8B10B.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 redblue200
----------------------------------------------------------------------------------
2
-- Company              : OCST Co.,Ltd.
3
-- Engineer             : RyuShinHyung
4
-- 
5
-- Create Date  : 02/23/2005
6
-- Design Name  : 
7
-- Module Name  : DEC8B10B - RTL
8
-- Project Name : Fiber Optic Application
9
--
10
-- Revision
11
-- Revision 0.01 - File Created
12
--          1.00 - publishing on the opencores.org
13
--          1.01 - eliminate needing the VECTLIB.vhd in the original revision.(20110424)
14
--
15
----------------------------------------------------------------------------------
16
library IEEE;
17
use IEEE.STD_LOGIC_1164.ALL;
18
use IEEE.STD_LOGIC_ARITH.ALL;
19
use IEEE.STD_LOGIC_UNSIGNED.ALL;
20
 
21
entity DEC8B10B is
22
        port
23 2 redblue200
        (
24 3 redblue200
                CLK_IN : IN STD_LOGIC;
25
                ENCODE_IN : in STD_LOGIC_VECTOR(9 downto 0);
26
                CTRL_OUT : out STD_LOGIC;
27
                DATA_OUT : out STD_LOGIC_VECTOR(7 downto 0)
28
        );
29
end DEC8B10B;
30
 
31
architecture RTL of DEC8B10B is
32
 
33 2 redblue200
constant DEC8b10bERR : std_logic_vector := "100000000";
34
type TYPE_DEC8b10b is array (0 to 1023) of std_logic_vector (8 downto 0); -- Ctrl & Value
35
signal TBL_DEC8b10b : TYPE_DEC8b10b:=
36
        (
37
                DEC8b10bERR,    -- "0000000000" 
38
                DEC8b10bERR,    -- "0000000001" 
39
                DEC8b10bERR,    -- "0000000010" 
40
                DEC8b10bERR,    -- "0000000011" 
41
                DEC8b10bERR,    -- "0000000100" 
42
                DEC8b10bERR,    -- "0000000101" 
43
                DEC8b10bERR,    -- "0000000110" 
44
                DEC8b10bERR,    -- "0000000111" 
45
                DEC8b10bERR,    -- "0000001000" 
46
                DEC8b10bERR,    -- "0000001001" 
47
                DEC8b10bERR,    -- "0000001010" 
48
                DEC8b10bERR,    -- "0000001011" 
49
                DEC8b10bERR,    -- "0000001100" 
50
                DEC8b10bERR,    -- "0000001101" 
51
                DEC8b10bERR,    -- "0000001110" 
52
                DEC8b10bERR,    -- "0000001111" 
53
                DEC8b10bERR,    -- "0000010000" 
54
                DEC8b10bERR,    -- "0000010001" 
55
                DEC8b10bERR,    -- "0000010010" 
56
                DEC8b10bERR,    -- "0000010011" 
57
                DEC8b10bERR,    -- "0000010100" 
58
                DEC8b10bERR,    -- "0000010101" 
59
                DEC8b10bERR,    -- "0000010110" 
60
                DEC8b10bERR,    -- "0000010111" 
61
                DEC8b10bERR,    -- "0000011000" 
62
                DEC8b10bERR,    -- "0000011001" 
63
                DEC8b10bERR,    -- "0000011010" 
64
                DEC8b10bERR,    -- "0000011011" 
65
                DEC8b10bERR,    -- "0000011100" 
66
                DEC8b10bERR,    -- "0000011101" 
67
                DEC8b10bERR,    -- "0000011110" 
68
                DEC8b10bERR,    -- "0000011111" 
69
                DEC8b10bERR,    -- "0000100000" 
70
                DEC8b10bERR,    -- "0000100001" 
71
                DEC8b10bERR,    -- "0000100010" 
72
                DEC8b10bERR,    -- "0000100011" 
73
                DEC8b10bERR,    -- "0000100100" 
74
                DEC8b10bERR,    -- "0000100101" 
75
                DEC8b10bERR,    -- "0000100110" 
76
                DEC8b10bERR,    -- "0000100111" 
77
                DEC8b10bERR,    -- "0000101000" 
78
                DEC8b10bERR,    -- "0000101001" 
79
                DEC8b10bERR,    -- "0000101010" 
80
                DEC8b10bERR,    -- "0000101011" 
81
                DEC8b10bERR,    -- "0000101100" 
82
                DEC8b10bERR,    -- "0000101101" 
83
                DEC8b10bERR,    -- "0000101110" 
84
                DEC8b10bERR,    -- "0000101111" 
85
                DEC8b10bERR,    -- "0000110000" 
86
                DEC8b10bERR,    -- "0000110001" 
87
                DEC8b10bERR,    -- "0000110010" 
88
                DEC8b10bERR,    -- "0000110011" 
89
                DEC8b10bERR,    -- "0000110100" 
90
                DEC8b10bERR,    -- "0000110101" 
91
                DEC8b10bERR,    -- "0000110110" 
92
                DEC8b10bERR,    -- "0000110111" 
93
                DEC8b10bERR,    -- "0000111000" 
94
                DEC8b10bERR,    -- "0000111001" 
95
                DEC8b10bERR,    -- "0000111010" 
96
                DEC8b10bERR,    -- "0000111011" 
97
                DEC8b10bERR,    -- "0000111100" 
98
                DEC8b10bERR,    -- "0000111101" 
99
                DEC8b10bERR,    -- "0000111110" 
100
                DEC8b10bERR,    -- "0000111111" 
101
                DEC8b10bERR,    -- "0001000000" 
102
                DEC8b10bERR,    -- "0001000001" 
103
                DEC8b10bERR,    -- "0001000010" 
104
                DEC8b10bERR,    -- "0001000011" 
105
                DEC8b10bERR,    -- "0001000100" 
106
                DEC8b10bERR,    -- "0001000101" 
107
                DEC8b10bERR,    -- "0001000110" 
108
                DEC8b10bERR,    -- "0001000111" 
109
                DEC8b10bERR,    -- "0001001000" 
110
                DEC8b10bERR,    -- "0001001001" 
111
                DEC8b10bERR,    -- "0001001010" 
112
                "011101011",    -- "0001001011", -D11.7+, [1,1]
113
                DEC8b10bERR,    -- "0001001100" 
114
                "011101101",    -- "0001001101", -D13.7+, [2,2]
115
                "011101110",    -- "0001001110", -D14.7+, [3,3]
116
                DEC8b10bERR,    -- "0001001111" 
117
                DEC8b10bERR,    -- "0001010000" 
118
                DEC8b10bERR,    -- "0001010001" 
119
                DEC8b10bERR,    -- "0001010010" 
120
                "111110011",    -- "0001010011", -K19.7+, [4,1]
121
                DEC8b10bERR,    -- "0001010100" 
122
                "111110101",    -- "0001010101", -K21.7+, [5,2]
123
                "111110110",    -- "0001010110", -K22.7+, [6,3]
124
                "111110111",    -- "0001010111", +K23.7+, [7,4]
125
                DEC8b10bERR,    -- "0001011000" 
126
                "111111001",    -- "0001011001", -K25.7+, [8,5]
127
                "111111010",    -- "0001011010", -K26.7+, [9,6]
128
                "111111011",    -- "0001011011", +K27.7+, [10,7]
129
                DEC8b10bERR,    -- "0001011100" 
130
                "111111101",    -- "0001011101", +K29.7+, [11,8]
131
                "111111110",    -- "0001011110", +K30.7+, [12,9]
132
                DEC8b10bERR,    -- "0001011111" 
133
                DEC8b10bERR,    -- "0001100000" 
134
                DEC8b10bERR,    -- "0001100001" 
135
                DEC8b10bERR,    -- "0001100010" 
136
                "111100011",    -- "0001100011", -K3.7+, [13,10]
137
                DEC8b10bERR,    -- "0001100100" 
138
                "111100101",    -- "0001100101", -K5.7+, [14,11]
139
                "111100110",    -- "0001100110", -K6.7+, [15,12]
140
                "111101000",    -- "0001100111", +K8.7+, [16,13]
141
                DEC8b10bERR,    -- "0001101000" 
142
                "111101001",    -- "0001101001", -K9.7+, [17,14]
143
                "111101010",    -- "0001101010", -K10.7+, [18,15]
144
                "111100100",    -- "0001101011", +K4.7+, [19,16]
145
                "111101100",    -- "0001101100", -K12.7+, [20,17]
146
                "111100010",    -- "0001101101", +K2.7+, [21,18]
147
                "111100001",    -- "0001101110", +K1.7+, [22,19]
148
                DEC8b10bERR,    -- "0001101111" 
149
                DEC8b10bERR,    -- "0001110000" 
150
                "111110001",    -- "0001110001", -K17.7+, [23,20]
151
                "111110010",    -- "0001110010", -K18.7+, [24,21]
152
                "111111000",    -- "0001110011", +K24.7+, [25,22]
153
                "111110100",    -- "0001110100", -K20.7+, [26,23]
154
                "111111111",    -- "0001110101", +K31.7+, [27,24]
155
                "111110000",    -- "0001110110", +K16.7+, [28,25]
156
                DEC8b10bERR,    -- "0001110111" 
157
                "111100111",    -- "0001111000", -K7.7+, [29,26]
158
                "111100000",    -- "0001111001", +K0.7+, [30,27]
159
                "111101111",    -- "0001111010", +K15.7+, [31,28]
160
                DEC8b10bERR,    -- "0001111011" 
161
                "111111100",    -- "0001111100", +K28.7+, [32,29]
162
                DEC8b10bERR,    -- "0001111101" 
163
                DEC8b10bERR,    -- "0001111110" 
164
                DEC8b10bERR,    -- "0001111111" 
165
                DEC8b10bERR,    -- "0010000000" 
166
                DEC8b10bERR,    -- "0010000001" 
167
                DEC8b10bERR,    -- "0010000010" 
168
                DEC8b10bERR,    -- "0010000011" 
169
                DEC8b10bERR,    -- "0010000100" 
170
                DEC8b10bERR,    -- "0010000101" 
171
                DEC8b10bERR,    -- "0010000110" 
172
                DEC8b10bERR,    -- "0010000111" 
173
                DEC8b10bERR,    -- "0010001000" 
174
                DEC8b10bERR,    -- "0010001001" 
175
                DEC8b10bERR,    -- "0010001010" 
176
                "000001011",    -- "0010001011", -D11.0+, [33,4]
177
                DEC8b10bERR,    -- "0010001100" 
178
                "000001101",    -- "0010001101", -D13.0+, [34,5]
179
                "000001110",    -- "0010001110", -D14.0+, [35,6]
180
                DEC8b10bERR,    -- "0010001111" 
181
                DEC8b10bERR,    -- "0010010000" 
182
                DEC8b10bERR,    -- "0010010001" 
183
                DEC8b10bERR,    -- "0010010010" 
184
                "000010011",    -- "0010010011", -D19.0+, [36,7]
185
                DEC8b10bERR,    -- "0010010100" 
186
                "000010101",    -- "0010010101", -D21.0+, [37,8]
187
                "000010110",    -- "0010010110", -D22.0+, [38,9]
188
                "000010111",    -- "0010010111", +D23.0+, [39,10]
189
                DEC8b10bERR,    -- "0010011000" 
190
                "000011001",    -- "0010011001", -D25.0+, [40,11]
191
                "000011010",    -- "0010011010", -D26.0+, [41,12]
192
                "000011011",    -- "0010011011", +D27.0+, [42,13]
193
                "000011100",    -- "0010011100", -D28.0+, [43,14]
194
                "000011101",    -- "0010011101", +D29.0+, [44,15]
195
                "000011110",    -- "0010011110", +D30.0+, [45,16]
196
                DEC8b10bERR,    -- "0010011111" 
197
                DEC8b10bERR,    -- "0010100000" 
198
                DEC8b10bERR,    -- "0010100001" 
199
                DEC8b10bERR,    -- "0010100010" 
200
                "000000011",    -- "0010100011", -D3.0+, [46,17]
201
                DEC8b10bERR,    -- "0010100100" 
202
                "000000101",    -- "0010100101", -D5.0+, [47,18]
203
                "000000110",    -- "0010100110", -D6.0+, [48,19]
204
                "000001000",    -- "0010100111", +D8.0+, [49,20]
205
                DEC8b10bERR,    -- "0010101000" 
206
                "000001001",    -- "0010101001", -D9.0+, [50,21]
207
                "000001010",    -- "0010101010", -D10.0+, [51,22]
208
                "000000100",    -- "0010101011", +D4.0+, [52,23]
209
                "000001100",    -- "0010101100", -D12.0+, [53,24]
210
                "000000010",    -- "0010101101", +D2.0+, [54,25]
211
                "000000001",    -- "0010101110", +D1.0+, [55,26]
212
                DEC8b10bERR,    -- "0010101111" 
213
                DEC8b10bERR,    -- "0010110000" 
214
                "000010001",    -- "0010110001", -D17.0+, [56,27]
215
                "000010010",    -- "0010110010", -D18.0+, [57,28]
216
                "000011000",    -- "0010110011", +D24.0+, [58,29]
217
                "000010100",    -- "0010110100", -D20.0+, [59,30]
218
                "000011111",    -- "0010110101", +D31.0+, [60,31]
219
                "000010000",    -- "0010110110", +D16.0+, [61,32]
220
                DEC8b10bERR,    -- "0010110111" 
221
                "000000111",    -- "0010111000", -D7.0+, [62,33]
222
                "000000000",    -- "0010111001", +D0.0+, [63,34]
223
                "000001111",    -- "0010111010", +D15.0+, [64,35]
224
                DEC8b10bERR,    -- "0010111011" 
225
                "100011100",    -- "0010111100", +K28.0+, [65,30]
226
                DEC8b10bERR,    -- "0010111101" 
227
                DEC8b10bERR,    -- "0010111110" 
228
                DEC8b10bERR,    -- "0010111111" 
229
                DEC8b10bERR,    -- "0011000000" 
230
                DEC8b10bERR,    -- "0011000001" 
231
                DEC8b10bERR,    -- "0011000010" 
232
                "101111100",    -- "0011000011", -K28.3+, [66,31]
233
                DEC8b10bERR,    -- "0011000100" 
234
                "001101111",    -- "0011000101", -D15.3+, [67,36]
235
                "001100000",    -- "0011000110", -D0.3+, [68,37]
236
                "001100111",    -- "0011000111", +D7.3+, [69,38]
237
                DEC8b10bERR,    -- "0011001000" 
238
                "001110000",    -- "0011001001", -D16.3+, [70,39]
239
                "001111111",    -- "0011001010", -D31.3+, [71,40]
240
                "001101011",    -- "0011001011", +D11.3+, [72,41]
241
                "001111000",    -- "0011001100", -D24.3+, [73,42]
242
                "001101101",    -- "0011001101", +D13.3+, [74,43]
243
                "001101110",    -- "0011001110", +D14.3+, [75,44]
244
                DEC8b10bERR,    -- "0011001111" 
245
                DEC8b10bERR,    -- "0011010000" 
246
                "001100001",    -- "0011010001", -D1.3+, [76,45]
247
                "001100010",    -- "0011010010", -D2.3+, [77,46]
248
                "001110011",    -- "0011010011", +D19.3+, [78,47]
249
                "001100100",    -- "0011010100", -D4.3+, [79,48]
250
                "001110101",    -- "0011010101", +D21.3+, [80,49]
251
                "001110110",    -- "0011010110", +D22.3+, [81,50]
252
                DEC8b10bERR,    -- "0011010111" 
253
                "001101000",    -- "0011011000", -D8.3+, [82,51]
254
                "001111001",    -- "0011011001", +D25.3+, [83,52]
255
                "001111010",    -- "0011011010", +D26.3+, [84,53]
256
                DEC8b10bERR,    -- "0011011011" 
257
                "001111100",    -- "0011011100", +D28.3+, [85,54]
258
                DEC8b10bERR,    -- "0011011101" 
259
                DEC8b10bERR,    -- "0011011110" 
260
                DEC8b10bERR,    -- "0011011111" 
261
                DEC8b10bERR,    -- "0011100000" 
262
                "001111110",    -- "0011100001", -D30.3+, [86,55]
263
                "001111101",    -- "0011100010", -D29.3+, [87,56]
264
                "001100011",    -- "0011100011", +D3.3+, [88,57]
265
                "001111011",    -- "0011100100", -D27.3+, [89,58]
266
                "001100101",    -- "0011100101", +D5.3+, [90,59]
267
                "001100110",    -- "0011100110", +D6.3+, [91,60]
268
                DEC8b10bERR,    -- "0011100111" 
269
                "001110111",    -- "0011101000", -D23.3+, [92,61]
270
                "001101001",    -- "0011101001", +D9.3+, [93,62]
271
                "001101010",    -- "0011101010", +D10.3+, [94,63]
272
                DEC8b10bERR,    -- "0011101011" 
273
                "001101100",    -- "0011101100", +D12.3+, [95,64]
274
                DEC8b10bERR,    -- "0011101101" 
275
                DEC8b10bERR,    -- "0011101110" 
276
                DEC8b10bERR,    -- "0011101111" 
277
                DEC8b10bERR,    -- "0011110000" 
278
                "001110001",    -- "0011110001", +D17.3+, [96,65]
279
                "001110010",    -- "0011110010", +D18.3+, [97,66]
280
                DEC8b10bERR,    -- "0011110011" 
281
                "001110100",    -- "0011110100", +D20.3+, [98,67]
282
                DEC8b10bERR,    -- "0011110101" 
283
                DEC8b10bERR,    -- "0011110110" 
284
                DEC8b10bERR,    -- "0011110111" 
285
                DEC8b10bERR,    -- "0011111000" 
286
                DEC8b10bERR,    -- "0011111001" 
287
                DEC8b10bERR,    -- "0011111010" 
288
                DEC8b10bERR,    -- "0011111011" 
289
                DEC8b10bERR,    -- "0011111100" 
290
                DEC8b10bERR,    -- "0011111101" 
291
                DEC8b10bERR,    -- "0011111110" 
292
                DEC8b10bERR,    -- "0011111111" 
293
                DEC8b10bERR,    -- "0100000000" 
294
                DEC8b10bERR,    -- "0100000001" 
295
                DEC8b10bERR,    -- "0100000010" 
296
                DEC8b10bERR,    -- "0100000011" 
297
                DEC8b10bERR,    -- "0100000100" 
298
                DEC8b10bERR,    -- "0100000101" 
299
                DEC8b10bERR,    -- "0100000110" 
300
                DEC8b10bERR,    -- "0100000111" 
301
                DEC8b10bERR,    -- "0100001000" 
302
                DEC8b10bERR,    -- "0100001001" 
303
                DEC8b10bERR,    -- "0100001010" 
304
                "010001011",    -- "0100001011", -D11.4+, [99,68]
305
                DEC8b10bERR,    -- "0100001100" 
306
                "010001101",    -- "0100001101", -D13.4+, [100,69]
307
                "010001110",    -- "0100001110", -D14.4+, [101,70]
308
                DEC8b10bERR,    -- "0100001111" 
309
                DEC8b10bERR,    -- "0100010000" 
310
                DEC8b10bERR,    -- "0100010001" 
311
                DEC8b10bERR,    -- "0100010010" 
312
                "010010011",    -- "0100010011", -D19.4+, [102,71]
313
                DEC8b10bERR,    -- "0100010100" 
314
                "010010101",    -- "0100010101", -D21.4+, [103,72]
315
                "010010110",    -- "0100010110", -D22.4+, [104,73]
316
                "010010111",    -- "0100010111", +D23.4+, [105,74]
317
                DEC8b10bERR,    -- "0100011000" 
318
                "010011001",    -- "0100011001", -D25.4+, [106,75]
319
                "010011010",    -- "0100011010", -D26.4+, [107,76]
320
                "010011011",    -- "0100011011", +D27.4+, [108,77]
321
                "010011100",    -- "0100011100", -D28.4+, [109,78]
322
                "010011101",    -- "0100011101", +D29.4+, [110,79]
323
                "010011110",    -- "0100011110", +D30.4+, [111,80]
324
                DEC8b10bERR,    -- "0100011111" 
325
                DEC8b10bERR,    -- "0100100000" 
326
                DEC8b10bERR,    -- "0100100001" 
327
                DEC8b10bERR,    -- "0100100010" 
328
                "010000011",    -- "0100100011", -D3.4+, [112,81]
329
                DEC8b10bERR,    -- "0100100100" 
330
                "010000101",    -- "0100100101", -D5.4+, [113,82]
331
                "010000110",    -- "0100100110", -D6.4+, [114,83]
332
                "010001000",    -- "0100100111", +D8.4+, [115,84]
333
                DEC8b10bERR,    -- "0100101000" 
334
                "010001001",    -- "0100101001", -D9.4+, [116,85]
335
                "010001010",    -- "0100101010", -D10.4+, [117,86]
336
                "010000100",    -- "0100101011", +D4.4+, [118,87]
337
                "010001100",    -- "0100101100", -D12.4+, [119,88]
338
                "010000010",    -- "0100101101", +D2.4+, [120,89]
339
                "010000001",    -- "0100101110", +D1.4+, [121,90]
340
                DEC8b10bERR,    -- "0100101111" 
341
                DEC8b10bERR,    -- "0100110000" 
342
                "010010001",    -- "0100110001", -D17.4+, [122,91]
343
                "010010010",    -- "0100110010", -D18.4+, [123,92]
344
                "010011000",    -- "0100110011", +D24.4+, [124,93]
345
                "010010100",    -- "0100110100", -D20.4+, [125,94]
346
                "010011111",    -- "0100110101", +D31.4+, [126,95]
347
                "010010000",    -- "0100110110", +D16.4+, [127,96]
348
                DEC8b10bERR,    -- "0100110111" 
349
                "010000111",    -- "0100111000", -D7.4+, [128,97]
350
                "010000000",    -- "0100111001", +D0.4+, [129,98]
351
                "010001111",    -- "0100111010", +D15.4+, [130,99]
352
                DEC8b10bERR,    -- "0100111011" 
353
                "110011100",    -- "0100111100", +K28.4+, [131,32]
354
                DEC8b10bERR,    -- "0100111101" 
355
                DEC8b10bERR,    -- "0100111110" 
356
                DEC8b10bERR,    -- "0100111111" 
357
                DEC8b10bERR,    -- "0101000000" 
358
                DEC8b10bERR,    -- "0101000001" 
359
                DEC8b10bERR,    -- "0101000010" 
360
                "101011100",    -- "0101000011", -K28.2+, [132,33]
361
                DEC8b10bERR,    -- "0101000100" 
362
                "010101111",    -- "0101000101", -D15.5+, [133,100]
363
                "010100000",    -- "0101000110", -D0.5+, [134,101]
364
                "010100111",    -- "0101000111", +D7.5+, [135,102]
365
                DEC8b10bERR,    -- "0101001000" 
366
                "010110000",    -- "0101001001", -D16.5+, [136,103]
367
                "010111111",    -- "0101001010", -D31.5+, [137,104]
368
                "010101011",    -- "0101001011", +D11.5+, [138,105]
369
                "010111000",    -- "0101001100", -D24.5+, [139,106]
370
                "010101101",    -- "0101001101", +D13.5+, [140,107]
371
                "010101110",    -- "0101001110", +D14.5+, [141,108]
372
                DEC8b10bERR,    -- "0101001111" 
373
                DEC8b10bERR,    -- "0101010000" 
374
                "010100001",    -- "0101010001", -D1.5+, [142,109]
375
                "010100010",    -- "0101010010", -D2.5+, [143,110]
376
                "010110011",    -- "0101010011", +D19.5+, [144,111]
377
                "010100100",    -- "0101010100", -D4.5+, [145,112]
378
                "010110101",    -- "0101010101", +D21.5+, [146,113]
379
                "010110110",    -- "0101010110", +D22.5+, [147,114]
380
                "010110111",    -- "0101010111", +D23.5-, [148,115]
381
                "010101000",    -- "0101011000", -D8.5+, [149,116]
382
                "010111001",    -- "0101011001", +D25.5+, [150,117]
383
                "010111010",    -- "0101011010", +D26.5+, [151,118]
384
                "010111011",    -- "0101011011", +D27.5-, [152,119]
385
                "010111100",    -- "0101011100", +D28.5+, [153,120]
386
                "010111101",    -- "0101011101", +D29.5-, [154,121]
387
                "010111110",    -- "0101011110", +D30.5-, [155,122]
388
                DEC8b10bERR,    -- "0101011111" 
389
                DEC8b10bERR,    -- "0101100000" 
390
                "010111110",    -- "0101100001", -D30.5+, [156,123]
391
                "010111101",    -- "0101100010", -D29.5+, [157,124]
392
                "010100011",    -- "0101100011", +D3.5+, [158,125]
393
                "010111011",    -- "0101100100", -D27.5+, [159,126]
394
                "010100101",    -- "0101100101", +D5.5+, [160,127]
395
                "010100110",    -- "0101100110", +D6.5+, [161,128]
396
                "010101000",    -- "0101100111", +D8.5-, [162,129]
397
                "010110111",    -- "0101101000", -D23.5+, [163,130]
398
                "010101001",    -- "0101101001", +D9.5+, [164,131]
399
                "010101010",    -- "0101101010", +D10.5+, [165,132]
400
                "010100100",    -- "0101101011", +D4.5-, [166,133]
401
                "010101100",    -- "0101101100", +D12.5+, [167,134]
402
                "010100010",    -- "0101101101", +D2.5-, [168,135]
403
                "010100001",    -- "0101101110", +D1.5-, [169,136]
404
                DEC8b10bERR,    -- "0101101111" 
405
                DEC8b10bERR,    -- "0101110000" 
406
                "010110001",    -- "0101110001", +D17.5+, [170,137]
407
                "010110010",    -- "0101110010", +D18.5+, [171,138]
408
                "010111000",    -- "0101110011", +D24.5-, [172,139]
409
                "010110100",    -- "0101110100", +D20.5+, [173,140]
410
                "010111111",    -- "0101110101", +D31.5-, [174,141]
411
                "010110000",    -- "0101110110", +D16.5-, [175,142]
412
                DEC8b10bERR,    -- "0101110111" 
413
                "010100111",    -- "0101111000", -D7.5-, [176,143]
414
                "010100000",    -- "0101111001", +D0.5-, [177,144]
415
                "010101111",    -- "0101111010", +D15.5-, [178,145]
416
                DEC8b10bERR,    -- "0101111011" 
417
                "110111100",    -- "0101111100", +K28.5-, [179,34]
418
                DEC8b10bERR,    -- "0101111101" 
419
                DEC8b10bERR,    -- "0101111110" 
420
                DEC8b10bERR,    -- "0101111111" 
421
                DEC8b10bERR,    -- "0110000000" 
422
                DEC8b10bERR,    -- "0110000001" 
423
                DEC8b10bERR,    -- "0110000010" 
424
                "100111100",    -- "0110000011", -K28.1+, [180,35]
425
                DEC8b10bERR,    -- "0110000100" 
426
                "011001111",    -- "0110000101", -D15.6+, [181,146]
427
                "011000000",    -- "0110000110", -D0.6+, [182,147]
428
                "011000111",    -- "0110000111", +D7.6+, [183,148]
429
                DEC8b10bERR,    -- "0110001000" 
430
                "011010000",    -- "0110001001", -D16.6+, [184,149]
431
                "011011111",    -- "0110001010", -D31.6+, [185,150]
432
                "011001011",    -- "0110001011", +D11.6+, [186,151]
433
                "011011000",    -- "0110001100", -D24.6+, [187,152]
434
                "011001101",    -- "0110001101", +D13.6+, [188,153]
435
                "011001110",    -- "0110001110", +D14.6+, [189,154]
436
                DEC8b10bERR,    -- "0110001111" 
437
                DEC8b10bERR,    -- "0110010000" 
438
                "011000001",    -- "0110010001", -D1.6+, [190,155]
439
                "011000010",    -- "0110010010", -D2.6+, [191,156]
440
                "011010011",    -- "0110010011", +D19.6+, [192,157]
441
                "011000100",    -- "0110010100", -D4.6+, [193,158]
442
                "011010101",    -- "0110010101", +D21.6+, [194,159]
443
                "011010110",    -- "0110010110", +D22.6+, [195,160]
444
                "011010111",    -- "0110010111", +D23.6-, [196,161]
445
                "011001000",    -- "0110011000", -D8.6+, [197,162]
446
                "011011001",    -- "0110011001", +D25.6+, [198,163]
447
                "011011010",    -- "0110011010", +D26.6+, [199,164]
448
                "011011011",    -- "0110011011", +D27.6-, [200,165]
449
                "011011100",    -- "0110011100", +D28.6+, [201,166]
450
                "011011101",    -- "0110011101", +D29.6-, [202,167]
451
                "011011110",    -- "0110011110", +D30.6-, [203,168]
452
                DEC8b10bERR,    -- "0110011111" 
453
                DEC8b10bERR,    -- "0110100000" 
454
                "011011110",    -- "0110100001", -D30.6+, [204,169]
455
                "011011101",    -- "0110100010", -D29.6+, [205,170]
456
                "011000011",    -- "0110100011", +D3.6+, [206,171]
457
                "011011011",    -- "0110100100", -D27.6+, [207,172]
458
                "011000101",    -- "0110100101", +D5.6+, [208,173]
459
                "011000110",    -- "0110100110", +D6.6+, [209,174]
460
                "011001000",    -- "0110100111", +D8.6-, [210,175]
461
                "011010111",    -- "0110101000", -D23.6+, [211,176]
462
                "011001001",    -- "0110101001", +D9.6+, [212,177]
463
                "011001010",    -- "0110101010", +D10.6+, [213,178]
464
                "011000100",    -- "0110101011", +D4.6-, [214,179]
465
                "011001100",    -- "0110101100", +D12.6+, [215,180]
466
                "011000010",    -- "0110101101", +D2.6-, [216,181]
467
                "011000001",    -- "0110101110", +D1.6-, [217,182]
468
                DEC8b10bERR,    -- "0110101111" 
469
                DEC8b10bERR,    -- "0110110000" 
470
                "011010001",    -- "0110110001", +D17.6+, [218,183]
471
                "011010010",    -- "0110110010", +D18.6+, [219,184]
472
                "011011000",    -- "0110110011", +D24.6-, [220,185]
473
                "011010100",    -- "0110110100", +D20.6+, [221,186]
474
                "011011111",    -- "0110110101", +D31.6-, [222,187]
475
                "011010000",    -- "0110110110", +D16.6-, [223,188]
476
                DEC8b10bERR,    -- "0110110111" 
477
                "011000111",    -- "0110111000", -D7.6-, [224,189]
478
                "011000000",    -- "0110111001", +D0.6-, [225,190]
479
                "011001111",    -- "0110111010", +D15.6-, [226,191]
480
                DEC8b10bERR,    -- "0110111011" 
481
                "111011100",    -- "0110111100", +K28.6-, [227,36]
482
                DEC8b10bERR,    -- "0110111101" 
483
                DEC8b10bERR,    -- "0110111110" 
484
                DEC8b10bERR,    -- "0110111111" 
485
                DEC8b10bERR,    -- "0111000000" 
486
                DEC8b10bERR,    -- "0111000001" 
487
                DEC8b10bERR,    -- "0111000010" 
488
                DEC8b10bERR,    -- "0111000011" 
489
                DEC8b10bERR,    -- "0111000100" 
490
                "011101111",    -- "0111000101", -D15.7-, [228,192]
491
                "011100000",    -- "0111000110", -D0.7-, [229,193]
492
                "011100111",    -- "0111000111", +D7.7-, [230,194]
493
                DEC8b10bERR,    -- "0111001000" 
494
                "011110000",    -- "0111001001", -D16.7-, [231,195]
495
                "011111111",    -- "0111001010", -D31.7-, [232,196]
496
                "011101011",    -- "0111001011", +D11.7-, [233,197]
497
                "011111000",    -- "0111001100", -D24.7-, [234,198]
498
                "011101101",    -- "0111001101", +D13.7-, [235,199]
499
                "011101110",    -- "0111001110", +D14.7-, [236,200]
500
                DEC8b10bERR,    -- "0111001111" 
501
                DEC8b10bERR,    -- "0111010000" 
502
                "011100001",    -- "0111010001", -D1.7-, [237,201]
503
                "011100010",    -- "0111010010", -D2.7-, [238,202]
504
                "011110011",    -- "0111010011", +D19.7-, [239,203]
505
                "011100100",    -- "0111010100", -D4.7-, [240,204]
506
                "011110101",    -- "0111010101", +D21.7-, [241,205]
507
                "011110110",    -- "0111010110", +D22.7-, [242,206]
508
                DEC8b10bERR,    -- "0111010111" 
509
                "011101000",    -- "0111011000", -D8.7-, [243,207]
510
                "011111001",    -- "0111011001", +D25.7-, [244,208]
511
                "011111010",    -- "0111011010", +D26.7-, [245,209]
512
                DEC8b10bERR,    -- "0111011011" 
513
                "011111100",    -- "0111011100", +D28.7-, [246,210]
514
                DEC8b10bERR,    -- "0111011101" 
515
                DEC8b10bERR,    -- "0111011110" 
516
                DEC8b10bERR,    -- "0111011111" 
517
                DEC8b10bERR,    -- "0111100000" 
518
                "011111110",    -- "0111100001", -D30.7-, [247,211]
519
                "011111101",    -- "0111100010", -D29.7-, [248,212]
520
                "011100011",    -- "0111100011", +D3.7-, [249,213]
521
                "011111011",    -- "0111100100", -D27.7-, [250,214]
522
                "011100101",    -- "0111100101", +D5.7-, [251,215]
523
                "011100110",    -- "0111100110", +D6.7-, [252,216]
524
                DEC8b10bERR,    -- "0111100111" 
525
                "011110111",    -- "0111101000", -D23.7-, [253,217]
526
                "011101001",    -- "0111101001", +D9.7-, [254,218]
527
                "011101010",    -- "0111101010", +D10.7-, [255,219]
528
                DEC8b10bERR,    -- "0111101011" 
529
                "011101100",    -- "0111101100", +D12.7-, [256,220]
530
                DEC8b10bERR,    -- "0111101101" 
531
                DEC8b10bERR,    -- "0111101110" 
532
                DEC8b10bERR,    -- "0111101111" 
533
                DEC8b10bERR,    -- "0111110000" 
534
                DEC8b10bERR,    -- "0111110001" 
535
                DEC8b10bERR,    -- "0111110010" 
536
                DEC8b10bERR,    -- "0111110011" 
537
                DEC8b10bERR,    -- "0111110100" 
538
                DEC8b10bERR,    -- "0111110101" 
539
                DEC8b10bERR,    -- "0111110110" 
540
                DEC8b10bERR,    -- "0111110111" 
541
                DEC8b10bERR,    -- "0111111000" 
542
                DEC8b10bERR,    -- "0111111001" 
543
                DEC8b10bERR,    -- "0111111010" 
544
                DEC8b10bERR,    -- "0111111011" 
545
                DEC8b10bERR,    -- "0111111100" 
546
                DEC8b10bERR,    -- "0111111101" 
547
                DEC8b10bERR,    -- "0111111110" 
548
                DEC8b10bERR,    -- "0111111111" 
549
                DEC8b10bERR,    -- "1000000000" 
550
                DEC8b10bERR,    -- "1000000001" 
551
                DEC8b10bERR,    -- "1000000010" 
552
                DEC8b10bERR,    -- "1000000011" 
553
                DEC8b10bERR,    -- "1000000100" 
554
                DEC8b10bERR,    -- "1000000101" 
555
                DEC8b10bERR,    -- "1000000110" 
556
                DEC8b10bERR,    -- "1000000111" 
557
                DEC8b10bERR,    -- "1000001000" 
558
                DEC8b10bERR,    -- "1000001001" 
559
                DEC8b10bERR,    -- "1000001010" 
560
                DEC8b10bERR,    -- "1000001011" 
561
                DEC8b10bERR,    -- "1000001100" 
562
                DEC8b10bERR,    -- "1000001101" 
563
                DEC8b10bERR,    -- "1000001110" 
564
                DEC8b10bERR,    -- "1000001111" 
565
                DEC8b10bERR,    -- "1000010000" 
566
                DEC8b10bERR,    -- "1000010001" 
567
                DEC8b10bERR,    -- "1000010010" 
568
                "011110011",    -- "1000010011", -D19.7+, [257,221]
569
                DEC8b10bERR,    -- "1000010100" 
570
                "011110101",    -- "1000010101", -D21.7+, [258,222]
571
                "011110110",    -- "1000010110", -D22.7+, [259,223]
572
                "011110111",    -- "1000010111", +D23.7+, [260,224]
573
                DEC8b10bERR,    -- "1000011000" 
574
                "011111001",    -- "1000011001", -D25.7+, [261,225]
575
                "011111010",    -- "1000011010", -D26.7+, [262,226]
576
                "011111011",    -- "1000011011", +D27.7+, [263,227]
577
                "011111100",    -- "1000011100", -D28.7+, [264,228]
578
                "011111101",    -- "1000011101", +D29.7+, [265,229]
579
                "011111110",    -- "1000011110", +D30.7+, [266,230]
580
                DEC8b10bERR,    -- "1000011111" 
581
                DEC8b10bERR,    -- "1000100000" 
582
                DEC8b10bERR,    -- "1000100001" 
583
                DEC8b10bERR,    -- "1000100010" 
584
                "011100011",    -- "1000100011", -D3.7+, [267,231]
585
                DEC8b10bERR,    -- "1000100100" 
586
                "011100101",    -- "1000100101", -D5.7+, [268,232]
587
                "011100110",    -- "1000100110", -D6.7+, [269,233]
588
                "011101000",    -- "1000100111", +D8.7+, [270,234]
589
                DEC8b10bERR,    -- "1000101000" 
590
                "011101001",    -- "1000101001", -D9.7+, [271,235]
591
                "011101010",    -- "1000101010", -D10.7+, [272,236]
592
                "011100100",    -- "1000101011", +D4.7+, [273,237]
593
                "011101100",    -- "1000101100", -D12.7+, [274,238]
594
                "011100010",    -- "1000101101", +D2.7+, [275,239]
595
                "011100001",    -- "1000101110", +D1.7+, [276,240]
596
                DEC8b10bERR,    -- "1000101111" 
597
                DEC8b10bERR,    -- "1000110000" 
598
                "011110001",    -- "1000110001", -D17.7+, [277,241]
599
                "011110010",    -- "1000110010", -D18.7+, [278,242]
600
                "011111000",    -- "1000110011", +D24.7+, [279,243]
601
                "011110100",    -- "1000110100", -D20.7+, [280,244]
602
                "011111111",    -- "1000110101", +D31.7+, [281,245]
603
                "011110000",    -- "1000110110", +D16.7+, [282,246]
604
                DEC8b10bERR,    -- "1000110111" 
605
                "011100111",    -- "1000111000", -D7.7+, [283,247]
606
                "011100000",    -- "1000111001", +D0.7+, [284,248]
607
                "011101111",    -- "1000111010", +D15.7+, [285,249]
608
                DEC8b10bERR,    -- "1000111011" 
609
                DEC8b10bERR,    -- "1000111100" 
610
                DEC8b10bERR,    -- "1000111101" 
611
                DEC8b10bERR,    -- "1000111110" 
612
                DEC8b10bERR,    -- "1000111111" 
613
                DEC8b10bERR,    -- "1001000000" 
614
                DEC8b10bERR,    -- "1001000001" 
615
                DEC8b10bERR,    -- "1001000010" 
616
                "111011100",    -- "1001000011", -K28.6+, [286,37]
617
                DEC8b10bERR,    -- "1001000100" 
618
                "000101111",    -- "1001000101", -D15.1+, [287,250]
619
                "000100000",    -- "1001000110", -D0.1+, [288,251]
620
                "000100111",    -- "1001000111", +D7.1+, [289,252]
621
                DEC8b10bERR,    -- "1001001000" 
622
                "000110000",    -- "1001001001", -D16.1+, [290,253]
623
                "000111111",    -- "1001001010", -D31.1+, [291,254]
624
                "000101011",    -- "1001001011", +D11.1+, [292,255]
625
                "000111000",    -- "1001001100", -D24.1+, [293,256]
626
                "000101101",    -- "1001001101", +D13.1+, [294,257]
627
                "000101110",    -- "1001001110", +D14.1+, [295,258]
628
                DEC8b10bERR,    -- "1001001111" 
629
                DEC8b10bERR,    -- "1001010000" 
630
                "000100001",    -- "1001010001", -D1.1+, [296,259]
631
                "000100010",    -- "1001010010", -D2.1+, [297,260]
632
                "000110011",    -- "1001010011", +D19.1+, [298,261]
633
                "000100100",    -- "1001010100", -D4.1+, [299,262]
634
                "000110101",    -- "1001010101", +D21.1+, [300,263]
635
                "000110110",    -- "1001010110", +D22.1+, [301,264]
636
                "000110111",    -- "1001010111", +D23.1-, [302,265]
637
                "000101000",    -- "1001011000", -D8.1+, [303,266]
638
                "000111001",    -- "1001011001", +D25.1+, [304,267]
639
                "000111010",    -- "1001011010", +D26.1+, [305,268]
640
                "000111011",    -- "1001011011", +D27.1-, [306,269]
641
                "000111100",    -- "1001011100", +D28.1+, [307,270]
642
                "000111101",    -- "1001011101", +D29.1-, [308,271]
643
                "000111110",    -- "1001011110", +D30.1-, [309,272]
644
                DEC8b10bERR,    -- "1001011111" 
645
                DEC8b10bERR,    -- "1001100000" 
646
                "000111110",    -- "1001100001", -D30.1+, [310,273]
647
                "000111101",    -- "1001100010", -D29.1+, [311,274]
648
                "000100011",    -- "1001100011", +D3.1+, [312,275]
649
                "000111011",    -- "1001100100", -D27.1+, [313,276]
650
                "000100101",    -- "1001100101", +D5.1+, [314,277]
651
                "000100110",    -- "1001100110", +D6.1+, [315,278]
652
                "000101000",    -- "1001100111", +D8.1-, [316,279]
653
                "000110111",    -- "1001101000", -D23.1+, [317,280]
654
                "000101001",    -- "1001101001", +D9.1+, [318,281]
655
                "000101010",    -- "1001101010", +D10.1+, [319,282]
656
                "000100100",    -- "1001101011", +D4.1-, [320,283]
657
                "000101100",    -- "1001101100", +D12.1+, [321,284]
658
                "000100010",    -- "1001101101", +D2.1-, [322,285]
659
                "000100001",    -- "1001101110", +D1.1-, [323,286]
660
                DEC8b10bERR,    -- "1001101111" 
661
                DEC8b10bERR,    -- "1001110000" 
662
                "000110001",    -- "1001110001", +D17.1+, [324,287]
663
                "000110010",    -- "1001110010", +D18.1+, [325,288]
664
                "000111000",    -- "1001110011", +D24.1-, [326,289]
665
                "000110100",    -- "1001110100", +D20.1+, [327,290]
666
                "000111111",    -- "1001110101", +D31.1-, [328,291]
667
                "000110000",    -- "1001110110", +D16.1-, [329,292]
668
                DEC8b10bERR,    -- "1001110111" 
669
                "000100111",    -- "1001111000", -D7.1-, [330,293]
670
                "000100000",    -- "1001111001", +D0.1-, [331,294]
671
                "000101111",    -- "1001111010", +D15.1-, [332,295]
672
                DEC8b10bERR,    -- "1001111011" 
673
                "100111100",    -- "1001111100", +K28.1-, [333,38]
674
                DEC8b10bERR,    -- "1001111101" 
675
                DEC8b10bERR,    -- "1001111110" 
676
                DEC8b10bERR,    -- "1001111111" 
677
                DEC8b10bERR,    -- "1010000000" 
678
                DEC8b10bERR,    -- "1010000001" 
679
                DEC8b10bERR,    -- "1010000010" 
680
                "110111100",    -- "1010000011", -K28.5+, [334,39]
681
                DEC8b10bERR,    -- "1010000100" 
682
                "001001111",    -- "1010000101", -D15.2+, [335,296]
683
                "001000000",    -- "1010000110", -D0.2+, [336,297]
684
                "001000111",    -- "1010000111", +D7.2+, [337,298]
685
                DEC8b10bERR,    -- "1010001000" 
686
                "001010000",    -- "1010001001", -D16.2+, [338,299]
687
                "001011111",    -- "1010001010", -D31.2+, [339,300]
688
                "001001011",    -- "1010001011", +D11.2+, [340,301]
689
                "001011000",    -- "1010001100", -D24.2+, [341,302]
690
                "001001101",    -- "1010001101", +D13.2+, [342,303]
691
                "001001110",    -- "1010001110", +D14.2+, [343,304]
692
                DEC8b10bERR,    -- "1010001111" 
693
                DEC8b10bERR,    -- "1010010000" 
694
                "001000001",    -- "1010010001", -D1.2+, [344,305]
695
                "001000010",    -- "1010010010", -D2.2+, [345,306]
696
                "001010011",    -- "1010010011", +D19.2+, [346,307]
697
                "001000100",    -- "1010010100", -D4.2+, [347,308]
698
                "001010101",    -- "1010010101", +D21.2+, [348,309]
699
                "001010110",    -- "1010010110", +D22.2+, [349,310]
700
                "001010111",    -- "1010010111", +D23.2-, [350,311]
701
                "001001000",    -- "1010011000", -D8.2+, [351,312]
702
                "001011001",    -- "1010011001", +D25.2+, [352,313]
703
                "001011010",    -- "1010011010", +D26.2+, [353,314]
704
                "001011011",    -- "1010011011", +D27.2-, [354,315]
705
                "001011100",    -- "1010011100", +D28.2+, [355,316]
706
                "001011101",    -- "1010011101", +D29.2-, [356,317]
707
                "001011110",    -- "1010011110", +D30.2-, [357,318]
708
                DEC8b10bERR,    -- "1010011111" 
709
                DEC8b10bERR,    -- "1010100000" 
710
                "001011110",    -- "1010100001", -D30.2+, [358,319]
711
                "001011101",    -- "1010100010", -D29.2+, [359,320]
712
                "001000011",    -- "1010100011", +D3.2+, [360,321]
713
                "001011011",    -- "1010100100", -D27.2+, [361,322]
714
                "001000101",    -- "1010100101", +D5.2+, [362,323]
715
                "001000110",    -- "1010100110", +D6.2+, [363,324]
716
                "001001000",    -- "1010100111", +D8.2-, [364,325]
717
                "001010111",    -- "1010101000", -D23.2+, [365,326]
718
                "001001001",    -- "1010101001", +D9.2+, [366,327]
719
                "001001010",    -- "1010101010", +D10.2+, [367,328]
720
                "001000100",    -- "1010101011", +D4.2-, [368,329]
721
                "001001100",    -- "1010101100", +D12.2+, [369,330]
722
                "001000010",    -- "1010101101", +D2.2-, [370,331]
723
                "001000001",    -- "1010101110", +D1.2-, [371,332]
724
                DEC8b10bERR,    -- "1010101111" 
725
                DEC8b10bERR,    -- "1010110000" 
726
                "001010001",    -- "1010110001", +D17.2+, [372,333]
727
                "001010010",    -- "1010110010", +D18.2+, [373,334]
728
                "001011000",    -- "1010110011", +D24.2-, [374,335]
729
                "001010100",    -- "1010110100", +D20.2+, [375,336]
730
                "001011111",    -- "1010110101", +D31.2-, [376,337]
731
                "001010000",    -- "1010110110", +D16.2-, [377,338]
732
                DEC8b10bERR,    -- "1010110111" 
733
                "001000111",    -- "1010111000", -D7.2-, [378,339]
734
                "001000000",    -- "1010111001", +D0.2-, [379,340]
735
                "001001111",    -- "1010111010", +D15.2-, [380,341]
736
                DEC8b10bERR,    -- "1010111011" 
737
                "101011100",    -- "1010111100", +K28.2-, [381,40]
738
                DEC8b10bERR,    -- "1010111101" 
739
                DEC8b10bERR,    -- "1010111110" 
740
                DEC8b10bERR,    -- "1010111111" 
741
                DEC8b10bERR,    -- "1011000000" 
742
                DEC8b10bERR,    -- "1011000001" 
743
                DEC8b10bERR,    -- "1011000010" 
744
                "110011100",    -- "1011000011", -K28.4-, [382,41]
745
                DEC8b10bERR,    -- "1011000100" 
746
                "010001111",    -- "1011000101", -D15.4-, [383,342]
747
                "010000000",    -- "1011000110", -D0.4-, [384,343]
748
                "010000111",    -- "1011000111", +D7.4-, [385,344]
749
                DEC8b10bERR,    -- "1011001000" 
750
                "010010000",    -- "1011001001", -D16.4-, [386,345]
751
                "010011111",    -- "1011001010", -D31.4-, [387,346]
752
                "010001011",    -- "1011001011", +D11.4-, [388,347]
753
                "010011000",    -- "1011001100", -D24.4-, [389,348]
754
                "010001101",    -- "1011001101", +D13.4-, [390,349]
755
                "010001110",    -- "1011001110", +D14.4-, [391,350]
756
                DEC8b10bERR,    -- "1011001111" 
757
                DEC8b10bERR,    -- "1011010000" 
758
                "010000001",    -- "1011010001", -D1.4-, [392,351]
759
                "010000010",    -- "1011010010", -D2.4-, [393,352]
760
                "010010011",    -- "1011010011", +D19.4-, [394,353]
761
                "010000100",    -- "1011010100", -D4.4-, [395,354]
762
                "010010101",    -- "1011010101", +D21.4-, [396,355]
763
                "010010110",    -- "1011010110", +D22.4-, [397,356]
764
                DEC8b10bERR,    -- "1011010111" 
765
                "010001000",    -- "1011011000", -D8.4-, [398,357]
766
                "010011001",    -- "1011011001", +D25.4-, [399,358]
767
                "010011010",    -- "1011011010", +D26.4-, [400,359]
768
                DEC8b10bERR,    -- "1011011011" 
769
                "010011100",    -- "1011011100", +D28.4-, [401,360]
770
                DEC8b10bERR,    -- "1011011101" 
771
                DEC8b10bERR,    -- "1011011110" 
772
                DEC8b10bERR,    -- "1011011111" 
773
                DEC8b10bERR,    -- "1011100000" 
774
                "010011110",    -- "1011100001", -D30.4-, [402,361]
775
                "010011101",    -- "1011100010", -D29.4-, [403,362]
776
                "010000011",    -- "1011100011", +D3.4-, [404,363]
777
                "010011011",    -- "1011100100", -D27.4-, [405,364]
778
                "010000101",    -- "1011100101", +D5.4-, [406,365]
779
                "010000110",    -- "1011100110", +D6.4-, [407,366]
780
                DEC8b10bERR,    -- "1011100111" 
781
                "010010111",    -- "1011101000", -D23.4-, [408,367]
782
                "010001001",    -- "1011101001", +D9.4-, [409,368]
783
                "010001010",    -- "1011101010", +D10.4-, [410,369]
784
                DEC8b10bERR,    -- "1011101011" 
785
                "010001100",    -- "1011101100", +D12.4-, [411,370]
786
                DEC8b10bERR,    -- "1011101101" 
787
                DEC8b10bERR,    -- "1011101110" 
788
                DEC8b10bERR,    -- "1011101111" 
789
                DEC8b10bERR,    -- "1011110000" 
790
                "010010001",    -- "1011110001", +D17.4-, [412,371]
791
                "010010010",    -- "1011110010", +D18.4-, [413,372]
792
                DEC8b10bERR,    -- "1011110011" 
793
                "010010100",    -- "1011110100", +D20.4-, [414,373]
794
                DEC8b10bERR,    -- "1011110101" 
795
                DEC8b10bERR,    -- "1011110110" 
796
                DEC8b10bERR,    -- "1011110111" 
797
                DEC8b10bERR,    -- "1011111000" 
798
                DEC8b10bERR,    -- "1011111001" 
799
                DEC8b10bERR,    -- "1011111010" 
800
                DEC8b10bERR,    -- "1011111011" 
801
                DEC8b10bERR,    -- "1011111100" 
802
                DEC8b10bERR,    -- "1011111101" 
803
                DEC8b10bERR,    -- "1011111110" 
804
                DEC8b10bERR,    -- "1011111111" 
805
                DEC8b10bERR,    -- "1100000000" 
806
                DEC8b10bERR,    -- "1100000001" 
807
                DEC8b10bERR,    -- "1100000010" 
808
                DEC8b10bERR,    -- "1100000011" 
809
                DEC8b10bERR,    -- "1100000100" 
810
                DEC8b10bERR,    -- "1100000101" 
811
                DEC8b10bERR,    -- "1100000110" 
812
                DEC8b10bERR,    -- "1100000111" 
813
                DEC8b10bERR,    -- "1100001000" 
814
                DEC8b10bERR,    -- "1100001001" 
815
                DEC8b10bERR,    -- "1100001010" 
816
                "001101011",    -- "1100001011", -D11.3-, [415,374]
817
                DEC8b10bERR,    -- "1100001100" 
818
                "001101101",    -- "1100001101", -D13.3-, [416,375]
819
                "001101110",    -- "1100001110", -D14.3-, [417,376]
820
                DEC8b10bERR,    -- "1100001111" 
821
                DEC8b10bERR,    -- "1100010000" 
822
                DEC8b10bERR,    -- "1100010001" 
823
                DEC8b10bERR,    -- "1100010010" 
824
                "001110011",    -- "1100010011", -D19.3-, [418,377]
825
                DEC8b10bERR,    -- "1100010100" 
826
                "001110101",    -- "1100010101", -D21.3-, [419,378]
827
                "001110110",    -- "1100010110", -D22.3-, [420,379]
828
                "001110111",    -- "1100010111", +D23.3-, [421,380]
829
                DEC8b10bERR,    -- "1100011000" 
830
                "001111001",    -- "1100011001", -D25.3-, [422,381]
831
                "001111010",    -- "1100011010", -D26.3-, [423,382]
832
                "001111011",    -- "1100011011", +D27.3-, [424,383]
833
                "001111100",    -- "1100011100", -D28.3-, [425,384]
834
                "001111101",    -- "1100011101", +D29.3-, [426,385]
835
                "001111110",    -- "1100011110", +D30.3-, [427,386]
836
                DEC8b10bERR,    -- "1100011111" 
837
                DEC8b10bERR,    -- "1100100000" 
838
                DEC8b10bERR,    -- "1100100001" 
839
                DEC8b10bERR,    -- "1100100010" 
840
                "001100011",    -- "1100100011", -D3.3-, [428,387]
841
                DEC8b10bERR,    -- "1100100100" 
842
                "001100101",    -- "1100100101", -D5.3-, [429,388]
843
                "001100110",    -- "1100100110", -D6.3-, [430,389]
844
                "001101000",    -- "1100100111", +D8.3-, [431,390]
845
                DEC8b10bERR,    -- "1100101000" 
846
                "001101001",    -- "1100101001", -D9.3-, [432,391]
847
                "001101010",    -- "1100101010", -D10.3-, [433,392]
848
                "001100100",    -- "1100101011", +D4.3-, [434,393]
849
                "001101100",    -- "1100101100", -D12.3-, [435,394]
850
                "001100010",    -- "1100101101", +D2.3-, [436,395]
851
                "001100001",    -- "1100101110", +D1.3-, [437,396]
852
                DEC8b10bERR,    -- "1100101111" 
853
                DEC8b10bERR,    -- "1100110000" 
854
                "001110001",    -- "1100110001", -D17.3-, [438,397]
855
                "001110010",    -- "1100110010", -D18.3-, [439,398]
856
                "001111000",    -- "1100110011", +D24.3-, [440,399]
857
                "001110100",    -- "1100110100", -D20.3-, [441,400]
858
                "001111111",    -- "1100110101", +D31.3-, [442,401]
859
                "001110000",    -- "1100110110", +D16.3-, [443,402]
860
                DEC8b10bERR,    -- "1100110111" 
861
                "001100111",    -- "1100111000", -D7.3-, [444,403]
862
                "001100000",    -- "1100111001", +D0.3-, [445,404]
863
                "001101111",    -- "1100111010", +D15.3-, [446,405]
864
                DEC8b10bERR,    -- "1100111011" 
865
                "101111100",    -- "1100111100", +K28.3-, [447,42]
866
                DEC8b10bERR,    -- "1100111101" 
867
                DEC8b10bERR,    -- "1100111110" 
868
                DEC8b10bERR,    -- "1100111111" 
869
                DEC8b10bERR,    -- "1101000000" 
870
                DEC8b10bERR,    -- "1101000001" 
871
                DEC8b10bERR,    -- "1101000010" 
872
                "100011100",    -- "1101000011", -K28.0-, [448,43]
873
                DEC8b10bERR,    -- "1101000100" 
874
                "000001111",    -- "1101000101", -D15.0-, [449,406]
875
                "000000000",    -- "1101000110", -D0.0-, [450,407]
876
                "000000111",    -- "1101000111", +D7.0-, [451,408]
877
                DEC8b10bERR,    -- "1101001000" 
878
                "000010000",    -- "1101001001", -D16.0-, [452,409]
879
                "000011111",    -- "1101001010", -D31.0-, [453,410]
880
                "000001011",    -- "1101001011", +D11.0-, [454,411]
881
                "000011000",    -- "1101001100", -D24.0-, [455,412]
882
                "000001101",    -- "1101001101", +D13.0-, [456,413]
883
                "000001110",    -- "1101001110", +D14.0-, [457,414]
884
                DEC8b10bERR,    -- "1101001111" 
885
                DEC8b10bERR,    -- "1101010000" 
886
                "000000001",    -- "1101010001", -D1.0-, [458,415]
887
                "000000010",    -- "1101010010", -D2.0-, [459,416]
888
                "000010011",    -- "1101010011", +D19.0-, [460,417]
889
                "000000100",    -- "1101010100", -D4.0-, [461,418]
890
                "000010101",    -- "1101010101", +D21.0-, [462,419]
891
                "000010110",    -- "1101010110", +D22.0-, [463,420]
892
                DEC8b10bERR,    -- "1101010111" 
893
                "000001000",    -- "1101011000", -D8.0-, [464,421]
894
                "000011001",    -- "1101011001", +D25.0-, [465,422]
895
                "000011010",    -- "1101011010", +D26.0-, [466,423]
896
                DEC8b10bERR,    -- "1101011011" 
897
                "000011100",    -- "1101011100", +D28.0-, [467,424]
898
                DEC8b10bERR,    -- "1101011101" 
899
                DEC8b10bERR,    -- "1101011110" 
900
                DEC8b10bERR,    -- "1101011111" 
901
                DEC8b10bERR,    -- "1101100000" 
902
                "000011110",    -- "1101100001", -D30.0-, [468,425]
903
                "000011101",    -- "1101100010", -D29.0-, [469,426]
904
                "000000011",    -- "1101100011", +D3.0-, [470,427]
905
                "000011011",    -- "1101100100", -D27.0-, [471,428]
906
                "000000101",    -- "1101100101", +D5.0-, [472,429]
907
                "000000110",    -- "1101100110", +D6.0-, [473,430]
908
                DEC8b10bERR,    -- "1101100111" 
909
                "000010111",    -- "1101101000", -D23.0-, [474,431]
910
                "000001001",    -- "1101101001", +D9.0-, [475,432]
911
                "000001010",    -- "1101101010", +D10.0-, [476,433]
912
                DEC8b10bERR,    -- "1101101011" 
913
                "000001100",    -- "1101101100", +D12.0-, [477,434]
914
                DEC8b10bERR,    -- "1101101101" 
915
                DEC8b10bERR,    -- "1101101110" 
916
                DEC8b10bERR,    -- "1101101111" 
917
                DEC8b10bERR,    -- "1101110000" 
918
                "000010001",    -- "1101110001", +D17.0-, [478,435]
919
                "000010010",    -- "1101110010", +D18.0-, [479,436]
920
                DEC8b10bERR,    -- "1101110011" 
921
                "000010100",    -- "1101110100", +D20.0-, [480,437]
922
                DEC8b10bERR,    -- "1101110101" 
923
                DEC8b10bERR,    -- "1101110110" 
924
                DEC8b10bERR,    -- "1101110111" 
925
                DEC8b10bERR,    -- "1101111000" 
926
                DEC8b10bERR,    -- "1101111001" 
927
                DEC8b10bERR,    -- "1101111010" 
928
                DEC8b10bERR,    -- "1101111011" 
929
                DEC8b10bERR,    -- "1101111100" 
930
                DEC8b10bERR,    -- "1101111101" 
931
                DEC8b10bERR,    -- "1101111110" 
932
                DEC8b10bERR,    -- "1101111111" 
933
                DEC8b10bERR,    -- "1110000000" 
934
                DEC8b10bERR,    -- "1110000001" 
935
                DEC8b10bERR,    -- "1110000010" 
936
                "111111100",    -- "1110000011", -K28.7-, [481,44]
937
                DEC8b10bERR,    -- "1110000100" 
938
                "111101111",    -- "1110000101", -K15.7-, [482,45]
939
                "111100000",    -- "1110000110", -K0.7-, [483,46]
940
                "111100111",    -- "1110000111", +K7.7-, [484,47]
941
                DEC8b10bERR,    -- "1110001000" 
942
                "111110000",    -- "1110001001", -K16.7-, [485,48]
943
                "111111111",    -- "1110001010", -K31.7-, [486,49]
944
                "111101011",    -- "1110001011", +K11.7-, [487,50]
945
                "111111000",    -- "1110001100", -K24.7-, [488,51]
946
                "111101101",    -- "1110001101", +K13.7-, [489,52]
947
                "111101110",    -- "1110001110", +K14.7-, [490,53]
948
                DEC8b10bERR,    -- "1110001111" 
949
                DEC8b10bERR,    -- "1110010000" 
950
                "111100001",    -- "1110010001", -K1.7-, [491,54]
951
                "111100010",    -- "1110010010", -K2.7-, [492,55]
952
                "111110011",    -- "1110010011", +K19.7-, [493,56]
953
                "111100100",    -- "1110010100", -K4.7-, [494,57]
954
                "111110101",    -- "1110010101", +K21.7-, [495,58]
955
                "111110110",    -- "1110010110", +K22.7-, [496,59]
956
                DEC8b10bERR,    -- "1110010111" 
957
                "111101000",    -- "1110011000", -K8.7-, [497,60]
958
                "111111001",    -- "1110011001", +K25.7-, [498,61]
959
                "111111010",    -- "1110011010", +K26.7-, [499,62]
960
                DEC8b10bERR,    -- "1110011011" 
961
                DEC8b10bERR,    -- "1110011100" 
962
                DEC8b10bERR,    -- "1110011101" 
963
                DEC8b10bERR,    -- "1110011110" 
964
                DEC8b10bERR,    -- "1110011111" 
965
                DEC8b10bERR,    -- "1110100000" 
966
                "111111110",    -- "1110100001", -K30.7-, [500,63]
967
                "111111101",    -- "1110100010", -K29.7-, [501,64]
968
                "111100011",    -- "1110100011", +K3.7-, [502,65]
969
                "111111011",    -- "1110100100", -K27.7-, [503,66]
970
                "111100101",    -- "1110100101", +K5.7-, [504,67]
971
                "111100110",    -- "1110100110", +K6.7-, [505,68]
972
                DEC8b10bERR,    -- "1110100111" 
973
                "111110111",    -- "1110101000", -K23.7-, [506,69]
974
                "111101001",    -- "1110101001", +K9.7-, [507,70]
975
                "111101010",    -- "1110101010", +K10.7-, [508,71]
976
                DEC8b10bERR,    -- "1110101011" 
977
                "111101100",    -- "1110101100", +K12.7-, [509,72]
978
                DEC8b10bERR,    -- "1110101101" 
979
                DEC8b10bERR,    -- "1110101110" 
980
                DEC8b10bERR,    -- "1110101111" 
981
                DEC8b10bERR,    -- "1110110000" 
982
                "011110001",    -- "1110110001", +D17.7-, [510,438]
983
                "011110010",    -- "1110110010", +D18.7-, [511,439]
984
                DEC8b10bERR,    -- "1110110011" 
985
                "011110100",    -- "1110110100", +D20.7-, [512,440]
986
                DEC8b10bERR,    -- "1110110101" 
987
                DEC8b10bERR,    -- "1110110110" 
988
                DEC8b10bERR,    -- "1110110111" 
989
                DEC8b10bERR,    -- "1110111000" 
990
                DEC8b10bERR,    -- "1110111001" 
991
                DEC8b10bERR,    -- "1110111010" 
992
                DEC8b10bERR,    -- "1110111011" 
993
                DEC8b10bERR,    -- "1110111100" 
994
                DEC8b10bERR,    -- "1110111101" 
995
                DEC8b10bERR,    -- "1110111110" 
996
                DEC8b10bERR,    -- "1110111111" 
997
                DEC8b10bERR,    -- "1111000000" 
998
                DEC8b10bERR,    -- "1111000001" 
999
                DEC8b10bERR,    -- "1111000010" 
1000
                DEC8b10bERR,    -- "1111000011" 
1001
                DEC8b10bERR,    -- "1111000100" 
1002
                DEC8b10bERR,    -- "1111000101" 
1003
                DEC8b10bERR,    -- "1111000110" 
1004
                DEC8b10bERR,    -- "1111000111" 
1005
                DEC8b10bERR,    -- "1111001000" 
1006
                DEC8b10bERR,    -- "1111001001" 
1007
                DEC8b10bERR,    -- "1111001010" 
1008
                DEC8b10bERR,    -- "1111001011" 
1009
                DEC8b10bERR,    -- "1111001100" 
1010
                DEC8b10bERR,    -- "1111001101" 
1011
                DEC8b10bERR,    -- "1111001110" 
1012
                DEC8b10bERR,    -- "1111001111" 
1013
                DEC8b10bERR,    -- "1111010000" 
1014
                DEC8b10bERR,    -- "1111010001" 
1015
                DEC8b10bERR,    -- "1111010010" 
1016
                DEC8b10bERR,    -- "1111010011" 
1017
                DEC8b10bERR,    -- "1111010100" 
1018
                DEC8b10bERR,    -- "1111010101" 
1019
                DEC8b10bERR,    -- "1111010110" 
1020
                DEC8b10bERR,    -- "1111010111" 
1021
                DEC8b10bERR,    -- "1111011000" 
1022
                DEC8b10bERR,    -- "1111011001" 
1023
                DEC8b10bERR,    -- "1111011010" 
1024
                DEC8b10bERR,    -- "1111011011" 
1025
                DEC8b10bERR,    -- "1111011100" 
1026
                DEC8b10bERR,    -- "1111011101" 
1027
                DEC8b10bERR,    -- "1111011110" 
1028
                DEC8b10bERR,    -- "1111011111" 
1029
                DEC8b10bERR,    -- "1111100000" 
1030
                DEC8b10bERR,    -- "1111100001" 
1031
                DEC8b10bERR,    -- "1111100010" 
1032
                DEC8b10bERR,    -- "1111100011" 
1033
                DEC8b10bERR,    -- "1111100100" 
1034
                DEC8b10bERR,    -- "1111100101" 
1035
                DEC8b10bERR,    -- "1111100110" 
1036
                DEC8b10bERR,    -- "1111100111" 
1037
                DEC8b10bERR,    -- "1111101000" 
1038
                DEC8b10bERR,    -- "1111101001" 
1039
                DEC8b10bERR,    -- "1111101010" 
1040
                DEC8b10bERR,    -- "1111101011" 
1041
                DEC8b10bERR,    -- "1111101100" 
1042
                DEC8b10bERR,    -- "1111101101" 
1043
                DEC8b10bERR,    -- "1111101110" 
1044
                DEC8b10bERR,    -- "1111101111" 
1045
                DEC8b10bERR,    -- "1111110000" 
1046
                DEC8b10bERR,    -- "1111110001" 
1047
                DEC8b10bERR,    -- "1111110010" 
1048
                DEC8b10bERR,    -- "1111110011" 
1049
                DEC8b10bERR,    -- "1111110100" 
1050
                DEC8b10bERR,    -- "1111110101" 
1051
                DEC8b10bERR,    -- "1111110110" 
1052
                DEC8b10bERR,    -- "1111110111" 
1053
                DEC8b10bERR,    -- "1111111000" 
1054
                DEC8b10bERR,    -- "1111111001" 
1055
                DEC8b10bERR,    -- "1111111010" 
1056
                DEC8b10bERR,    -- "1111111011" 
1057
                DEC8b10bERR,    -- "1111111100" 
1058
                DEC8b10bERR,    -- "1111111101" 
1059
                DEC8b10bERR,    -- "1111111110" 
1060
                DEC8b10bERR     -- "1111111111" 
1061
        );
1062 3 redblue200
 
1063
 
1064 2 redblue200
signal DECODE : std_logic_vector (8 downto 0);
1065 3 redblue200
 
1066
begin
1067
 
1068
        CTRL_OUT <= DECODE(8);
1069
        DATA_OUT <= DECODE(7 downto 0);
1070
 
1071
        process (CLK_IN)
1072 2 redblue200
        begin
1073
                if(CLK_IN = '1' and CLK_IN'event)
1074 3 redblue200
                then
1075 2 redblue200
                        DECODE <= TBL_DEC8B10B(conv_integer(ENCODE_IN));
1076 3 redblue200
                end if;
1077
        end process;
1078
 
1079
end RTL;
1080
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.