OpenCores
URL https://opencores.org/ocsvn/audio/audio/trunk

Subversion Repositories audio

[/] [audio/] [trunk/] [HD_ADPCM/] [HD_ADPCM_1Bit_Stereo_Decoder/] [HD_ADPCM_Codec.fit.rpt] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 ashematian
Fitter report for HD_ADPCM_Codec
2
Tue May 11 23:49:52 2010
3
Quartus II Version 9.0 Build 132 02/25/2009 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Fitter Summary
11
  3. Fitter Settings
12
  4. Parallel Compilation
13
  5. Fitter Netlist Optimizations
14
  6. Incremental Compilation Preservation Summary
15
  7. Incremental Compilation Partition Settings
16
  8. Incremental Compilation Placement Preservation
17
  9. Pin-Out File
18
 10. Fitter Resource Usage Summary
19
 11. Input Pins
20
 12. Output Pins
21
 13. Bidir Pins
22
 14. I/O Bank Usage
23
 15. All Package Pins
24
 16. Output Pin Default Load For Reported TCO
25
 17. Fitter Resource Utilization by Entity
26
 18. Delay Chain Summary
27
 19. Pad To Core Delay Chain Fanout
28
 20. Control Signals
29
 21. Global & Other Fast Signals
30
 22. Non-Global High Fan-Out Signals
31
 23. Fitter DSP Block Usage Summary
32
 24. DSP Block Details
33
 25. Interconnect Usage Summary
34
 26. LAB Logic Elements
35
 27. LAB-wide Signals
36
 28. LAB Signals Sourced
37
 29. LAB Signals Sourced Out
38
 30. LAB Distinct Inputs
39
 31. Fitter Device Options
40
 32. Operating Settings and Conditions
41
 33. Estimated Delay Added for Hold Timing
42
 34. Advanced Data - General
43
 35. Advanced Data - Placement Preparation
44
 36. Advanced Data - Placement
45
 37. Advanced Data - Routing
46
 38. Fitter Messages
47
 
48
 
49
 
50
----------------
51
; Legal Notice ;
52
----------------
53
Copyright (C) 1991-2009 Altera Corporation
54
Your use of Altera Corporation's design tools, logic functions
55
and other software and tools, and its AMPP partner logic
56
functions, and any output files from any of the foregoing
57
(including device programming or simulation files), and any
58
associated documentation or information are expressly subject
59
to the terms and conditions of the Altera Program License
60
Subscription Agreement, Altera MegaCore Function License
61
Agreement, or other applicable license agreement, including,
62
without limitation, that your use is for the sole purpose of
63
programming logic devices manufactured by Altera and sold by
64
Altera or its authorized distributors.  Please refer to the
65
applicable agreement for further details.
66
 
67
 
68
 
69
+------------------------------------------------------------------------------+
70
; Fitter Summary                                                               ;
71
+------------------------------------+-----------------------------------------+
72
; Fitter Status                      ; Successful - Tue May 11 23:49:52 2010   ;
73
; Quartus II Version                 ; 9.0 Build 132 02/25/2009 SJ Web Edition ;
74
; Revision Name                      ; HD_ADPCM_Codec                          ;
75
; Top-level Entity Name              ; HD_ADPCM_Codec                          ;
76
; Family                             ; Cyclone II                              ;
77
; Device                             ; EP2C20F484C7                            ;
78
; Timing Models                      ; Final                                   ;
79
; Total logic elements               ; 1,663 / 18,752 ( 9 % )                  ;
80
;     Total combinational functions  ; 1,635 / 18,752 ( 9 % )                  ;
81
;     Dedicated logic registers      ; 364 / 18,752 ( 2 % )                    ;
82
; Total registers                    ; 364                                     ;
83
; Total pins                         ; 82 / 315 ( 26 % )                       ;
84
; Total virtual pins                 ; 0                                       ;
85
; Total memory bits                  ; 0 / 239,616 ( 0 % )                     ;
86
; Embedded Multiplier 9-bit elements ; 4 / 52 ( 8 % )                          ;
87
; Total PLLs                         ; 0 / 4 ( 0 % )                           ;
88
+------------------------------------+-----------------------------------------+
89
 
90
 
91
+--------------------------------------------------------------------------------------------------------------------------------------+
92
; Fitter Settings                                                                                                                      ;
93
+--------------------------------------------------------------------+--------------------------------+--------------------------------+
94
; Option                                                             ; Setting                        ; Default Value                  ;
95
+--------------------------------------------------------------------+--------------------------------+--------------------------------+
96
; Device                                                             ; EP2C20F484C7                   ;                                ;
97
; Minimum Core Junction Temperature                                  ; 0                              ;                                ;
98
; Maximum Core Junction Temperature                                  ; 85                             ;                                ;
99
; Fit Attempts to Skip                                               ; 0                              ; 0.0                            ;
100
; Device I/O Standard                                                ; 3.3-V LVCMOS                   ;                                ;
101
; Use smart compilation                                              ; Off                            ; Off                            ;
102
; Use TimeQuest Timing Analyzer                                      ; Off                            ; Off                            ;
103
; Router Timing Optimization Level                                   ; Normal                         ; Normal                         ;
104
; Placement Effort Multiplier                                        ; 1.0                            ; 1.0                            ;
105
; Router Effort Multiplier                                           ; 1.0                            ; 1.0                            ;
106
; Always Enable Input Buffers                                        ; Off                            ; Off                            ;
107
; Optimize Hold Timing                                               ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ;
108
; Optimize Multi-Corner Timing                                       ; Off                            ; Off                            ;
109
; PowerPlay Power Optimization                                       ; Normal compilation             ; Normal compilation             ;
110
; Optimize Timing                                                    ; Normal compilation             ; Normal compilation             ;
111
; Optimize Timing for ECOs                                           ; Off                            ; Off                            ;
112
; Regenerate full fit report during ECO compiles                     ; Off                            ; Off                            ;
113
; Optimize IOC Register Placement for Timing                         ; On                             ; On                             ;
114
; Limit to One Fitting Attempt                                       ; Off                            ; Off                            ;
115
; Final Placement Optimizations                                      ; Automatically                  ; Automatically                  ;
116
; Fitter Aggressive Routability Optimizations                        ; Automatically                  ; Automatically                  ;
117
; Fitter Initial Placement Seed                                      ; 1                              ; 1                              ;
118
; PCI I/O                                                            ; Off                            ; Off                            ;
119
; Weak Pull-Up Resistor                                              ; Off                            ; Off                            ;
120
; Enable Bus-Hold Circuitry                                          ; Off                            ; Off                            ;
121
; Auto Global Memory Control Signals                                 ; Off                            ; Off                            ;
122
; Auto Packed Registers                                              ; Auto                           ; Auto                           ;
123
; Auto Delay Chains                                                  ; On                             ; On                             ;
124
; Auto Merge PLLs                                                    ; On                             ; On                             ;
125
; Ignore PLL Mode When Merging PLLs                                  ; Off                            ; Off                            ;
126
; Perform Physical Synthesis for Combinational Logic for Fitting     ; Off                            ; Off                            ;
127
; Perform Physical Synthesis for Combinational Logic for Performance ; Off                            ; Off                            ;
128
; Perform Register Duplication for Performance                       ; Off                            ; Off                            ;
129
; Perform Logic to Memory Mapping for Fitting                        ; Off                            ; Off                            ;
130
; Perform Register Retiming for Performance                          ; Off                            ; Off                            ;
131
; Perform Asynchronous Signal Pipelining                             ; Off                            ; Off                            ;
132
; Fitter Effort                                                      ; Auto Fit                       ; Auto Fit                       ;
133
; Physical Synthesis Effort Level                                    ; Normal                         ; Normal                         ;
134
; Auto Global Clock                                                  ; On                             ; On                             ;
135
; Auto Global Register Control Signals                               ; On                             ; On                             ;
136
; Stop After Congestion Map Generation                               ; Off                            ; Off                            ;
137
; Save Intermediate Fitting Results                                  ; Off                            ; Off                            ;
138
; Force Fitter to Avoid Periphery Placement Warnings                 ; Off                            ; Off                            ;
139
+--------------------------------------------------------------------+--------------------------------+--------------------------------+
140
 
141
 
142
Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
143
+-------------------------------------+
144
; Parallel Compilation                ;
145
+----------------------------+--------+
146
; Processors                 ; Number ;
147
+----------------------------+--------+
148
; Number detected on machine ; 2      ;
149
; Maximum allowed            ; 1      ;
150
+----------------------------+--------+
151
 
152
 
153
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
154
; Fitter Netlist Optimizations                                                                                                                                                                                                                                                                   ;
155
+------------------------------------------------------------------------------+-----------------+------------------+---------------------+-----------+----------------+------------------------------------------------------------------------------+------------------+-----------------------+
156
; Node                                                                         ; Action          ; Operation        ; Reason              ; Node Port ; Node Port Name ; Destination Node                                                             ; Destination Port ; Destination Port Name ;
157
+------------------------------------------------------------------------------+-----------------+------------------+---------------------+-----------+----------------+------------------------------------------------------------------------------+------------------+-----------------------+
158
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
159
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_1 ; REGOUT           ;                       ;
160
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
161
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2 ; REGOUT           ;                       ;
162
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
163
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_1 ; REGOUT           ;                       ;
164
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
165
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2 ; REGOUT           ;                       ;
166
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
167
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_1 ; REGOUT           ;                       ;
168
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
169
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2 ; REGOUT           ;                       ;
170
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
171
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_1 ; REGOUT           ;                       ;
172
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
173
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2 ; REGOUT           ;                       ;
174
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
175
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_1 ; REGOUT           ;                       ;
176
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
177
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2 ; REGOUT           ;                       ;
178
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
179
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_1 ; REGOUT           ;                       ;
180
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
181
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2 ; REGOUT           ;                       ;
182
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
183
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_1 ; REGOUT           ;                       ;
184
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
185
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2 ; REGOUT           ;                       ;
186
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
187
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_1 ; REGOUT           ;                       ;
188
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
189
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2 ; REGOUT           ;                       ;
190
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
191
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_1 ; REGOUT           ;                       ;
192
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
193
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2 ; REGOUT           ;                       ;
194
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
195
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_1 ; REGOUT           ;                       ;
196
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
197
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2 ; REGOUT           ;                       ;
198
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
199
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_1 ; REGOUT           ;                       ;
200
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
201
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2 ; REGOUT           ;                       ;
202
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
203
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_1 ; REGOUT           ;                       ;
204
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
205
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2 ; REGOUT           ;                       ;
206
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
207
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_1 ; REGOUT           ;                       ;
208
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
209
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2 ; REGOUT           ;                       ;
210
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
211
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_1 ; REGOUT           ;                       ;
212
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
213
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2 ; REGOUT           ;                       ;
214
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
215
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_1 ; REGOUT           ;                       ;
216
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
217
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2 ; REGOUT           ;                       ;
218
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
219
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_1 ; REGOUT           ;                       ;
220
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
221
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2 ; REGOUT           ;                       ;
222
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
223
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_1 ; REGOUT           ;                       ;
224
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
225
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2 ; REGOUT           ;                       ;
226
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
227
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_1 ; REGOUT           ;                       ;
228
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
229
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2 ; REGOUT           ;                       ;
230
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
231
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_1 ; REGOUT           ;                       ;
232
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
233
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2 ; REGOUT           ;                       ;
234
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]              ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAB            ;                       ;
235
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]              ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_1 ; REGOUT           ;                       ;
236
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1     ; DATAA            ;                       ;
237
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_1 ; Duplicated      ; Register Packing ; Timing optimization ; REGOUT    ;                ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2 ; REGOUT           ;                       ;
238
+------------------------------------------------------------------------------+-----------------+------------------+---------------------+-----------+----------------+------------------------------------------------------------------------------+------------------+-----------------------+
239
 
240
 
241
+-----------------------------------------------+
242
; Incremental Compilation Preservation Summary  ;
243
+-------------------------+---------------------+
244
; Type                    ; Value               ;
245
+-------------------------+---------------------+
246
; Placement               ;                     ;
247
;     -- Requested        ; 0 / 2083 ( 0.00 % ) ;
248
;     -- Achieved         ; 0 / 2083 ( 0.00 % ) ;
249
;                         ;                     ;
250
; Routing (by Connection) ;                     ;
251
;     -- Requested        ; 0 / 0 ( 0.00 % )    ;
252
;     -- Achieved         ; 0 / 0 ( 0.00 % )    ;
253
+-------------------------+---------------------+
254
 
255
 
256
+--------------------------------------------------------------------------------------------------------------------------------------------------+
257
; Incremental Compilation Partition Settings                                                                                                       ;
258
+----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+
259
; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ;
260
+----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+
261
; Top            ; User-created   ; Source File       ; N/A                     ; Source File            ; N/A                          ;          ;
262
+----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+
263
 
264
 
265
+--------------------------------------------------------------------------------------------+
266
; Incremental Compilation Placement Preservation                                             ;
267
+----------------+---------+-------------------+-------------------------+-------------------+
268
; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
269
+----------------+---------+-------------------+-------------------------+-------------------+
270
; Top            ; 2083    ; 0                 ; N/A                     ; Source File       ;
271
+----------------+---------+-------------------+-------------------------+-------------------+
272
 
273
 
274
+--------------+
275
; Pin-Out File ;
276
+--------------+
277
The pin-out file can be found in D:/HD_ADPCM/HD_ADPCM_1Bit_Stereo_Decoder/HD_ADPCM_Codec.pin.
278
 
279
 
280
+-----------------------------------------------------------------------------------------------------+
281
; Fitter Resource Usage Summary                                                                       ;
282
+---------------------------------------------+-------------------------------------------------------+
283
; Resource                                    ; Usage                                                 ;
284
+---------------------------------------------+-------------------------------------------------------+
285
; Total logic elements                        ; 1,663 / 18,752 ( 9 % )                                ;
286
;     -- Combinational with no register       ; 1299                                                  ;
287
;     -- Register only                        ; 28                                                    ;
288
;     -- Combinational with a register        ; 336                                                   ;
289
;                                             ;                                                       ;
290
; Logic element usage by number of LUT inputs ;                                                       ;
291
;     -- 4 input functions                    ; 384                                                   ;
292
;     -- 3 input functions                    ; 307                                                   ;
293
;     -- <=2 input functions                  ; 944                                                   ;
294
;     -- Register only                        ; 28                                                    ;
295
;                                             ;                                                       ;
296
; Logic elements by mode                      ;                                                       ;
297
;     -- normal mode                          ; 1058                                                  ;
298
;     -- arithmetic mode                      ; 577                                                   ;
299
;                                             ;                                                       ;
300
; Total registers*                            ; 364 / 19,649 ( 2 % )                                  ;
301
;     -- Dedicated logic registers            ; 364 / 18,752 ( 2 % )                                  ;
302
;     -- I/O registers                        ; 0 / 897 ( 0 % )                                       ;
303
;                                             ;                                                       ;
304
; Total LABs:  partially or completely used   ; 148 / 1,172 ( 13 % )                                  ;
305
; User inserted logic elements                ; 0                                                     ;
306
; Virtual pins                                ; 0                                                     ;
307
; I/O pins                                    ; 82 / 315 ( 26 % )                                     ;
308
;     -- Clock pins                           ; 2 / 8 ( 25 % )                                        ;
309
; Global signals                              ; 4                                                     ;
310
; M4Ks                                        ; 0 / 52 ( 0 % )                                        ;
311
; Total block memory bits                     ; 0 / 239,616 ( 0 % )                                   ;
312
; Total block memory implementation bits      ; 0 / 239,616 ( 0 % )                                   ;
313
; Embedded Multiplier 9-bit elements          ; 4 / 52 ( 8 % )                                        ;
314
; PLLs                                        ; 0 / 4 ( 0 % )                                         ;
315
; Global clocks                               ; 4 / 16 ( 25 % )                                       ;
316
; JTAGs                                       ; 0 / 1 ( 0 % )                                         ;
317
; ASMI blocks                                 ; 0 / 1 ( 0 % )                                         ;
318
; CRC blocks                                  ; 0 / 1 ( 0 % )                                         ;
319
; Average interconnect usage (total/H/V)      ; 2% / 1% / 2%                                          ;
320
; Peak interconnect usage (total/H/V)         ; 6% / 6% / 6%                                          ;
321
; Maximum fan-out node                        ; CLOCK_IN~clkctrl                                      ;
322
; Maximum fan-out                             ; 287                                                   ;
323
; Highest non-global fan-out signal           ; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_State_Counter[0] ;
324
; Highest non-global fan-out                  ; 44                                                    ;
325
; Total fan-out                               ; 5431                                                  ;
326
; Average fan-out                             ; 2.58                                                  ;
327
+---------------------------------------------+-------------------------------------------------------+
328
*  Register count does not include registers inside RAM blocks or DSP blocks.
329
 
330
 
331
 
332
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
333
; Input Pins                                                                                                                                                                                                                                                     ;
334
+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
335
; Name     ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ;
336
+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
337
; CLOCK_IN ; D12   ; 3        ; 24           ; 27           ; 2           ; 4                     ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVCMOS ; Off         ; User                 ;
338
; KEY_0    ; R22   ; 6        ; 50           ; 10           ; 1           ; 8                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVCMOS ; Off         ; User                 ;
339
; KEY_1    ; R21   ; 6        ; 50           ; 10           ; 2           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVCMOS ; Off         ; User                 ;
340
; SWITCH_0 ; L22   ; 5        ; 50           ; 14           ; 0           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVCMOS ; Off         ; User                 ;
341
+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
342
 
343
 
344
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
345
; Output Pins                                                                                                                                                                                                                                                                                                   ;
346
+------------------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
347
; Name                         ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ;
348
+------------------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
349
; FLASH_MEMORY_ADDRESS_OUT[0]  ; AB20  ; 7        ; 48           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
350
; FLASH_MEMORY_ADDRESS_OUT[10] ; R12   ; 7        ; 33           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
351
; FLASH_MEMORY_ADDRESS_OUT[11] ; T12   ; 7        ; 31           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
352
; FLASH_MEMORY_ADDRESS_OUT[12] ; AB14  ; 7        ; 33           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
353
; FLASH_MEMORY_ADDRESS_OUT[13] ; AA13  ; 7        ; 29           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
354
; FLASH_MEMORY_ADDRESS_OUT[14] ; AB13  ; 7        ; 29           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
355
; FLASH_MEMORY_ADDRESS_OUT[15] ; AA12  ; 7        ; 29           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
356
; FLASH_MEMORY_ADDRESS_OUT[16] ; AB12  ; 7        ; 29           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
357
; FLASH_MEMORY_ADDRESS_OUT[17] ; AA20  ; 7        ; 48           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
358
; FLASH_MEMORY_ADDRESS_OUT[18] ; U14   ; 7        ; 39           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
359
; FLASH_MEMORY_ADDRESS_OUT[19] ; V14   ; 7        ; 37           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
360
; FLASH_MEMORY_ADDRESS_OUT[1]  ; AA14  ; 7        ; 33           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
361
; FLASH_MEMORY_ADDRESS_OUT[20] ; U13   ; 7        ; 31           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
362
; FLASH_MEMORY_ADDRESS_OUT[21] ; R13   ; 7        ; 37           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
363
; FLASH_MEMORY_ADDRESS_OUT[2]  ; Y16   ; 7        ; 44           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
364
; FLASH_MEMORY_ADDRESS_OUT[3]  ; R15   ; 7        ; 42           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
365
; FLASH_MEMORY_ADDRESS_OUT[4]  ; T15   ; 7        ; 39           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
366
; FLASH_MEMORY_ADDRESS_OUT[5]  ; U15   ; 7        ; 46           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
367
; FLASH_MEMORY_ADDRESS_OUT[6]  ; V15   ; 7        ; 46           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
368
; FLASH_MEMORY_ADDRESS_OUT[7]  ; W15   ; 7        ; 39           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
369
; FLASH_MEMORY_ADDRESS_OUT[8]  ; R14   ; 7        ; 42           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
370
; FLASH_MEMORY_ADDRESS_OUT[9]  ; Y13   ; 7        ; 31           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
371
; FLASH_MEMORY_nCE_OUT         ; AB15  ; 7        ; 33           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
372
; FLASH_MEMORY_nOE_OUT         ; AA15  ; 7        ; 35           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
373
; FLASH_MEMORY_nRESET_OUT      ; W14   ; 7        ; 35           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
374
; FLASH_MEMORY_nWE_OUT         ; Y14   ; 7        ; 39           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
375
; I2C_CLOCK_OUT                ; A3    ; 3        ; 1            ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
376
; I2S_CLOCK_OUT                ; A4    ; 3        ; 1            ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
377
; I2S_CORE_CLOCK_OUT           ; B4    ; 3        ; 1            ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
378
; I2S_LEFT_RIGHT_CLOCK_OUT     ; A5    ; 3        ; 3            ; 27           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
379
; S_RED_LEDS_OUT[0]            ; R20   ; 6        ; 50           ; 10           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
380
; S_RED_LEDS_OUT[1]            ; R19   ; 6        ; 50           ; 8            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
381
; S_RED_LEDS_OUT[2]            ; U19   ; 6        ; 50           ; 4            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
382
; S_RED_LEDS_OUT[3]            ; Y19   ; 6        ; 50           ; 2            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
383
; S_RED_LEDS_OUT[4]            ; T18   ; 6        ; 50           ; 3            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
384
; S_RED_LEDS_OUT[5]            ; V19   ; 6        ; 50           ; 2            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
385
; S_RED_LEDS_OUT[6]            ; Y18   ; 6        ; 50           ; 2            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
386
; S_RED_LEDS_OUT[7]            ; U18   ; 6        ; 50           ; 3            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
387
; S_RED_LEDS_OUT[8]            ; R18   ; 6        ; 50           ; 8            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
388
; S_RED_LEDS_OUT[9]            ; R17   ; 6        ; 50           ; 5            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
389
; S_SEVEN_SEGMENT_1_OUT[0]     ; J2    ; 2        ; 0            ; 18           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
390
; S_SEVEN_SEGMENT_1_OUT[1]     ; J1    ; 2        ; 0            ; 18           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
391
; S_SEVEN_SEGMENT_1_OUT[2]     ; H2    ; 2        ; 0            ; 19           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
392
; S_SEVEN_SEGMENT_1_OUT[3]     ; H1    ; 2        ; 0            ; 19           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
393
; S_SEVEN_SEGMENT_1_OUT[4]     ; F2    ; 2        ; 0            ; 20           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
394
; S_SEVEN_SEGMENT_1_OUT[5]     ; F1    ; 2        ; 0            ; 20           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
395
; S_SEVEN_SEGMENT_1_OUT[6]     ; E2    ; 2        ; 0            ; 20           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
396
; S_SEVEN_SEGMENT_2_OUT[0]     ; E1    ; 2        ; 0            ; 20           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
397
; S_SEVEN_SEGMENT_2_OUT[1]     ; H6    ; 2        ; 0            ; 21           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
398
; S_SEVEN_SEGMENT_2_OUT[2]     ; H5    ; 2        ; 0            ; 21           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
399
; S_SEVEN_SEGMENT_2_OUT[3]     ; H4    ; 2        ; 0            ; 21           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
400
; S_SEVEN_SEGMENT_2_OUT[4]     ; G3    ; 2        ; 0            ; 21           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
401
; S_SEVEN_SEGMENT_2_OUT[5]     ; D2    ; 2        ; 0            ; 22           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
402
; S_SEVEN_SEGMENT_2_OUT[6]     ; D1    ; 2        ; 0            ; 22           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
403
; S_SEVEN_SEGMENT_3_OUT[0]     ; G5    ; 2        ; 0            ; 22           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
404
; S_SEVEN_SEGMENT_3_OUT[1]     ; G6    ; 2        ; 0            ; 23           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
405
; S_SEVEN_SEGMENT_3_OUT[2]     ; C2    ; 2        ; 0            ; 23           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
406
; S_SEVEN_SEGMENT_3_OUT[3]     ; C1    ; 2        ; 0            ; 23           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
407
; S_SEVEN_SEGMENT_3_OUT[4]     ; E3    ; 2        ; 0            ; 24           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
408
; S_SEVEN_SEGMENT_3_OUT[5]     ; E4    ; 2        ; 0            ; 24           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
409
; S_SEVEN_SEGMENT_3_OUT[6]     ; D3    ; 2        ; 0            ; 25           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
410
; S_SEVEN_SEGMENT_4_OUT[0]     ; F4    ; 2        ; 0            ; 23           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
411
; S_SEVEN_SEGMENT_4_OUT[1]     ; D5    ; 2        ; 0            ; 24           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
412
; S_SEVEN_SEGMENT_4_OUT[2]     ; D6    ; 2        ; 0            ; 24           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
413
; S_SEVEN_SEGMENT_4_OUT[3]     ; J4    ; 2        ; 0            ; 18           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
414
; S_SEVEN_SEGMENT_4_OUT[4]     ; L8    ; 2        ; 0            ; 19           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
415
; S_SEVEN_SEGMENT_4_OUT[5]     ; F3    ; 2        ; 0            ; 22           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
416
; S_SEVEN_SEGMENT_4_OUT[6]     ; D4    ; 2        ; 0            ; 25           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 0 pF ;
417
+------------------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
418
 
419
 
420
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
421
; Bidir Pins                                                                                                                                                                                                                                                                                                                                                         ;
422
+----------------------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
423
; Name                       ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ;
424
+----------------------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
425
; FLASH_MEMORY_DATA_INOUT[0] ; AB16  ; 7        ; 35           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
426
; FLASH_MEMORY_DATA_INOUT[1] ; AA16  ; 7        ; 35           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
427
; FLASH_MEMORY_DATA_INOUT[2] ; AB17  ; 7        ; 37           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
428
; FLASH_MEMORY_DATA_INOUT[3] ; AA17  ; 7        ; 37           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
429
; FLASH_MEMORY_DATA_INOUT[4] ; AB18  ; 7        ; 42           ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
430
; FLASH_MEMORY_DATA_INOUT[5] ; AA18  ; 7        ; 44           ; 0            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
431
; FLASH_MEMORY_DATA_INOUT[6] ; AB19  ; 7        ; 48           ; 0            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
432
; FLASH_MEMORY_DATA_INOUT[7] ; AA19  ; 7        ; 48           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
433
; I2C_DATA_INOUT             ; B3    ; 3        ; 1            ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
434
; I2S_DATA_INOUT             ; B5    ; 3        ; 3            ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVCMOS ; 24mA             ; Off         ; User                 ; 0 pF ;
435
+----------------------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
436
 
437
 
438
+------------------------------------------------------------+
439
; I/O Bank Usage                                             ;
440
+----------+------------------+---------------+--------------+
441
; I/O Bank ; Usage            ; VCCIO Voltage ; VREF Voltage ;
442
+----------+------------------+---------------+--------------+
443
; 1        ; 0 / 41 ( 0 % )   ; 3.3V          ; --           ;
444
; 2        ; 30 / 33 ( 91 % ) ; 3.3V          ; --           ;
445
; 3        ; 7 / 43 ( 16 % )  ; 3.3V          ; --           ;
446
; 4        ; 0 / 40 ( 0 % )   ; 3.3V          ; --           ;
447
; 5        ; 1 / 39 ( 3 % )   ; 3.3V          ; --           ;
448
; 6        ; 13 / 36 ( 36 % ) ; 3.3V          ; --           ;
449
; 7        ; 34 / 40 ( 85 % ) ; 3.3V          ; --           ;
450
; 8        ; 0 / 43 ( 0 % )   ; 3.3V          ; --           ;
451
+----------+------------------+---------------+--------------+
452
 
453
 
454
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
455
; All Package Pins                                                                                                                                                       ;
456
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
457
; Location ; Pad Number ; I/O Bank ; Pin Name/Usage                           ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
458
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
459
; A1       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
460
; A2       ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
461
; A3       ; 325        ; 3        ; I2C_CLOCK_OUT                            ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
462
; A4       ; 324        ; 3        ; I2S_CLOCK_OUT                            ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
463
; A5       ; 322        ; 3        ; I2S_LEFT_RIGHT_CLOCK_OUT                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
464
; A6       ; 320        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
465
; A7       ; 306        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
466
; A8       ; 304        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
467
; A9       ; 298        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
468
; A10      ; 293        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
469
; A11      ; 287        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
470
; A12      ; 283        ; 4        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
471
; A13      ; 281        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
472
; A14      ; 279        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
473
; A15      ; 273        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
474
; A16      ; 271        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
475
; A17      ; 265        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
476
; A18      ; 251        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
477
; A19      ; 249        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
478
; A20      ; 247        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
479
; A21      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
480
; A22      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
481
; AA1      ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
482
; AA2      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
483
; AA3      ; 82         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
484
; AA4      ; 85         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
485
; AA5      ; 89         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
486
; AA6      ; 97         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
487
; AA7      ; 103        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
488
; AA8      ; 111        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
489
; AA9      ; 114        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
490
; AA10     ; 120        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
491
; AA11     ; 122        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
492
; AA12     ; 128        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[15]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
493
; AA13     ; 130        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[13]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
494
; AA14     ; 136        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[1]              ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
495
; AA15     ; 138        ; 7        ; FLASH_MEMORY_nOE_OUT                     ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
496
; AA16     ; 140        ; 7        ; FLASH_MEMORY_DATA_INOUT[1]               ; bidir  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
497
; AA17     ; 144        ; 7        ; FLASH_MEMORY_DATA_INOUT[3]               ; bidir  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
498
; AA18     ; 153        ; 7        ; FLASH_MEMORY_DATA_INOUT[5]               ; bidir  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
499
; AA19     ; 162        ; 7        ; FLASH_MEMORY_DATA_INOUT[7]               ; bidir  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
500
; AA20     ; 164        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[17]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
501
; AA21     ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
502
; AA22     ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
503
; AB1      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
504
; AB2      ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
505
; AB3      ; 83         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
506
; AB4      ; 84         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
507
; AB5      ; 88         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
508
; AB6      ; 96         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
509
; AB7      ; 102        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
510
; AB8      ; 110        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
511
; AB9      ; 113        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
512
; AB10     ; 119        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
513
; AB11     ; 121        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
514
; AB12     ; 127        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[16]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
515
; AB13     ; 129        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[14]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
516
; AB14     ; 135        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[12]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
517
; AB15     ; 137        ; 7        ; FLASH_MEMORY_nCE_OUT                     ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
518
; AB16     ; 139        ; 7        ; FLASH_MEMORY_DATA_INOUT[0]               ; bidir  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
519
; AB17     ; 143        ; 7        ; FLASH_MEMORY_DATA_INOUT[2]               ; bidir  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
520
; AB18     ; 152        ; 7        ; FLASH_MEMORY_DATA_INOUT[4]               ; bidir  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
521
; AB19     ; 161        ; 7        ; FLASH_MEMORY_DATA_INOUT[6]               ; bidir  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
522
; AB20     ; 163        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[0]              ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
523
; AB21     ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
524
; AB22     ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
525
; B1       ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
526
; B2       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
527
; B3       ; 326        ; 3        ; I2C_DATA_INOUT                           ; bidir  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
528
; B4       ; 323        ; 3        ; I2S_CORE_CLOCK_OUT                       ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
529
; B5       ; 321        ; 3        ; I2S_DATA_INOUT                           ; bidir  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
530
; B6       ; 319        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
531
; B7       ; 305        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
532
; B8       ; 303        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
533
; B9       ; 297        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
534
; B10      ; 292        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
535
; B11      ; 286        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
536
; B12      ; 282        ; 4        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
537
; B13      ; 280        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
538
; B14      ; 278        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
539
; B15      ; 272        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
540
; B16      ; 270        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
541
; B17      ; 264        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
542
; B18      ; 250        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
543
; B19      ; 248        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
544
; B20      ; 246        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
545
; B21      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
546
; B22      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
547
; C1       ; 8          ; 2        ; S_SEVEN_SEGMENT_3_OUT[3]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
548
; C2       ; 9          ; 2        ; S_SEVEN_SEGMENT_3_OUT[2]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
549
; C3       ; 1          ; 2        ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; On           ;
550
; C4       ; 0          ; 2        ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; On           ;
551
; C5       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
552
; C6       ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
553
; C7       ; 315        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
554
; C8       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
555
; C9       ; 310        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
556
; C10      ; 296        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
557
; C11      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
558
; C12      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
559
; C13      ; 275        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
560
; C14      ; 260        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
561
; C15      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
562
; C16      ; 254        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
563
; C17      ; 245        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
564
; C18      ; 244        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
565
; C19      ; 238        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
566
; C20      ; 239        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
567
; C21      ; 236        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
568
; C22      ; 237        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
569
; D1       ; 14         ; 2        ; S_SEVEN_SEGMENT_2_OUT[6]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
570
; D2       ; 15         ; 2        ; S_SEVEN_SEGMENT_2_OUT[5]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
571
; D3       ; 2          ; 2        ; S_SEVEN_SEGMENT_3_OUT[6]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
572
; D4       ; 3          ; 2        ; S_SEVEN_SEGMENT_4_OUT[6]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
573
; D5       ; 4          ; 2        ; S_SEVEN_SEGMENT_4_OUT[1]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
574
; D6       ; 5          ; 2        ; S_SEVEN_SEGMENT_4_OUT[2]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
575
; D7       ; 311        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
576
; D8       ; 309        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
577
; D9       ; 302        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
578
; D10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
579
; D11      ; 289        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
580
; D12      ; 284        ; 3        ; CLOCK_IN                                 ; input  ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
581
; D13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
582
; D14      ; 267        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
583
; D15      ; 259        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
584
; D16      ; 255        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
585
; D17      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
586
; D18      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
587
; D19      ; 240        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
588
; D20      ; 241        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
589
; D21      ; 229        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
590
; D22      ; 230        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
591
; E1       ; 20         ; 2        ; S_SEVEN_SEGMENT_2_OUT[0]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
592
; E2       ; 21         ; 2        ; S_SEVEN_SEGMENT_1_OUT[6]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
593
; E3       ; 6          ; 2        ; S_SEVEN_SEGMENT_3_OUT[4]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
594
; E4       ; 7          ; 2        ; S_SEVEN_SEGMENT_3_OUT[5]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
595
; E5       ;            ;          ; VCCD_PLL3                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
596
; E6       ;            ;          ; VCCA_PLL3                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
597
; E7       ; 316        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
598
; E8       ; 308        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
599
; E9       ; 301        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
600
; E10      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
601
; E11      ; 288        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
602
; E12      ; 285        ; 3        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
603
; E13      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
604
; E14      ; 266        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
605
; E15      ; 256        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
606
; E16      ;            ;          ; GNDA_PLL2                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
607
; E17      ;            ;          ; GND_PLL2                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
608
; E18      ; 243        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
609
; E19      ; 242        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
610
; E20      ; 234        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
611
; E21      ; 227        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
612
; E22      ; 228        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
613
; F1       ; 22         ; 2        ; S_SEVEN_SEGMENT_1_OUT[5]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
614
; F2       ; 23         ; 2        ; S_SEVEN_SEGMENT_1_OUT[4]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
615
; F3       ; 13         ; 2        ; S_SEVEN_SEGMENT_4_OUT[5]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
616
; F4       ; 10         ; 2        ; S_SEVEN_SEGMENT_4_OUT[0]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
617
; F5       ;            ;          ; GND_PLL3                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
618
; F6       ;            ;          ; GND_PLL3                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
619
; F7       ;            ;          ; GNDA_PLL3                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
620
; F8       ; 312        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
621
; F9       ; 307        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
622
; F10      ; 295        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
623
; F11      ; 294        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
624
; F12      ; 276        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
625
; F13      ; 269        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
626
; F14      ; 268        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
627
; F15      ; 262        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
628
; F16      ;            ;          ; VCCA_PLL2                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
629
; F17      ;            ;          ; VCCD_PLL2                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
630
; F18      ;            ;          ; GND_PLL2                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
631
; F19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
632
; F20      ; 235        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
633
; F21      ; 223        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
634
; F22      ; 224        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
635
; G1       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
636
; G2       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
637
; G3       ; 16         ; 2        ; S_SEVEN_SEGMENT_2_OUT[4]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
638
; G4       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
639
; G5       ; 12         ; 2        ; S_SEVEN_SEGMENT_3_OUT[0]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
640
; G6       ; 11         ; 2        ; S_SEVEN_SEGMENT_3_OUT[1]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
641
; G7       ; 317        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
642
; G8       ; 313        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
643
; G9       ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
644
; G10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
645
; G11      ; 291        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
646
; G12      ; 277        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
647
; G13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
648
; G14      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
649
; G15      ; 261        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
650
; G16      ; 252        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
651
; G17      ; 231        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
652
; G18      ; 232        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
653
; G19      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
654
; G20      ; 233        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
655
; G21      ; 221        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
656
; G22      ; 222        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
657
; H1       ; 24         ; 2        ; S_SEVEN_SEGMENT_1_OUT[3]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
658
; H2       ; 25         ; 2        ; S_SEVEN_SEGMENT_1_OUT[2]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
659
; H3       ; 27         ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
660
; H4       ; 17         ; 2        ; S_SEVEN_SEGMENT_2_OUT[3]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
661
; H5       ; 18         ; 2        ; S_SEVEN_SEGMENT_2_OUT[2]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
662
; H6       ; 19         ; 2        ; S_SEVEN_SEGMENT_2_OUT[1]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
663
; H7       ; 318        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
664
; H8       ; 314        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
665
; H9       ; 300        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
666
; H10      ; 299        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
667
; H11      ; 290        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
668
; H12      ; 274        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
669
; H13      ; 263        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
670
; H14      ; 257        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
671
; H15      ; 253        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
672
; H16      ; 219        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
673
; H17      ; 226        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
674
; H18      ; 225        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
675
; H19      ; 214        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
676
; H20      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
677
; H21      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
678
; H22      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
679
; J1       ; 29         ; 2        ; S_SEVEN_SEGMENT_1_OUT[1]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
680
; J2       ; 30         ; 2        ; S_SEVEN_SEGMENT_1_OUT[0]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
681
; J3       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
682
; J4       ; 28         ; 2        ; S_SEVEN_SEGMENT_4_OUT[3]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
683
; J5       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
684
; J6       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
685
; J7       ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
686
; J8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
687
; J9       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
688
; J10      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
689
; J11      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
690
; J12      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
691
; J13      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
692
; J14      ; 258        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
693
; J15      ; 220        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
694
; J16      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
695
; J17      ; 218        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
696
; J18      ; 217        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
697
; J19      ; 216        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
698
; J20      ; 213        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
699
; J21      ; 211        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
700
; J22      ; 212        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
701
; K1       ; 37         ; 2        ; ^nCE                                     ;        ;              ;         ; --         ;                 ; --       ; --           ;
702
; K2       ; 32         ; 2        ; #TCK                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
703
; K3       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
704
; K4       ; 36         ; 2        ; ^DATA0                                   ; input  ;              ;         ; --         ;                 ; --       ; --           ;
705
; K5       ; 31         ; 2        ; #TDI                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
706
; K6       ; 33         ; 2        ; #TMS                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
707
; K7       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
708
; K8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
709
; K9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
710
; K10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
711
; K11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
712
; K12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
713
; K13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
714
; K14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
715
; K15      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
716
; K16      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
717
; K17      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
718
; K18      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
719
; K19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
720
; K20      ; 215        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
721
; K21      ; 209        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
722
; K22      ; 210        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
723
; L1       ; 38         ; 2        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
724
; L2       ; 39         ; 2        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
725
; L3       ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
726
; L4       ; 40         ; 2        ; ^nCONFIG                                 ;        ;              ;         ; --         ;                 ; --       ; --           ;
727
; L5       ; 34         ; 2        ; #TDO                                     ; output ;              ;         ; --         ;                 ; --       ; --           ;
728
; L6       ; 35         ; 2        ; ^DCLK                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
729
; L7       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
730
; L8       ; 26         ; 2        ; S_SEVEN_SEGMENT_4_OUT[4]                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
731
; L9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
732
; L10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
733
; L11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
734
; L12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
735
; L13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
736
; L14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
737
; L15      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
738
; L16      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
739
; L17      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
740
; L18      ; 208        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
741
; L19      ; 207        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
742
; L20      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
743
; L21      ; 205        ; 5        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
744
; L22      ; 206        ; 5        ; SWITCH_0                                 ; input  ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
745
; M1       ; 41         ; 1        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
746
; M2       ; 42         ; 1        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
747
; M3       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
748
; M4       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
749
; M5       ; 43         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
750
; M6       ; 44         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
751
; M7       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
752
; M8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
753
; M9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
754
; M10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
755
; M11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
756
; M12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
757
; M13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
758
; M14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
759
; M15      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
760
; M16      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
761
; M17      ; 198        ; 6        ; ^MSEL0                                   ;        ;              ;         ; --         ;                 ; --       ; --           ;
762
; M18      ; 202        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
763
; M19      ; 201        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
764
; M20      ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
765
; M21      ; 203        ; 6        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
766
; M22      ; 204        ; 6        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
767
; N1       ; 45         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
768
; N2       ; 46         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
769
; N3       ; 51         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
770
; N4       ; 52         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
771
; N5       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
772
; N6       ; 49         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
773
; N7       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
774
; N8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
775
; N9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
776
; N10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
777
; N11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
778
; N12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
779
; N13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
780
; N14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
781
; N15      ; 194        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
782
; N16      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
783
; N17      ; 197        ; 6        ; ^MSEL1                                   ;        ;              ;         ; --         ;                 ; --       ; --           ;
784
; N18      ; 196        ; 6        ; ^CONF_DONE                               ;        ;              ;         ; --         ;                 ; --       ; --           ;
785
; N19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
786
; N20      ; 195        ; 6        ; ^nSTATUS                                 ;        ;              ;         ; --         ;                 ; --       ; --           ;
787
; N21      ; 199        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
788
; N22      ; 200        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
789
; P1       ; 47         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
790
; P2       ; 48         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
791
; P3       ; 50         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
792
; P4       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
793
; P5       ; 55         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
794
; P6       ; 56         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
795
; P7       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
796
; P8       ; 95         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
797
; P9       ; 94         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
798
; P10      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
799
; P11      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
800
; P12      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
801
; P13      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
802
; P14      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
803
; P15      ; 193        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
804
; P16      ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
805
; P17      ; 186        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
806
; P18      ; 187        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
807
; P19      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
808
; P20      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
809
; P21      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
810
; P22      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
811
; R1       ; 57         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
812
; R2       ; 58         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
813
; R3       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
814
; R4       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
815
; R5       ; 63         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
816
; R6       ; 64         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
817
; R7       ; 54         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
818
; R8       ; 53         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
819
; R9       ; 109        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
820
; R10      ; 108        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
821
; R11      ; 116        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
822
; R12      ; 134        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[10]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
823
; R13      ; 145        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[21]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
824
; R14      ; 150        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[8]              ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
825
; R15      ; 151        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[3]              ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
826
; R16      ; 155        ; 7        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
827
; R17      ; 177        ; 6        ; S_RED_LEDS_OUT[9]                        ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
828
; R18      ; 184        ; 6        ; S_RED_LEDS_OUT[8]                        ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
829
; R19      ; 185        ; 6        ; S_RED_LEDS_OUT[1]                        ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
830
; R20      ; 192        ; 6        ; S_RED_LEDS_OUT[0]                        ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
831
; R21      ; 190        ; 6        ; KEY_1                                    ; input  ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
832
; R22      ; 191        ; 6        ; KEY_0                                    ; input  ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
833
; T1       ; 59         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
834
; T2       ; 60         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
835
; T3       ; 69         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
836
; T4       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
837
; T5       ; 67         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
838
; T6       ; 68         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
839
; T7       ; 91         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
840
; T8       ; 90         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
841
; T9       ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
842
; T10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
843
; T11      ; 115        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
844
; T12      ; 131        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[11]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
845
; T13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
846
; T14      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
847
; T15      ; 147        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[4]              ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
848
; T16      ; 156        ; 7        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
849
; T17      ;            ;          ; GND_PLL4                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
850
; T18      ; 171        ; 6        ; S_RED_LEDS_OUT[4]                        ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
851
; T19      ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
852
; T20      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
853
; T21      ; 188        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
854
; T22      ; 189        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
855
; U1       ; 61         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
856
; U2       ; 62         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
857
; U3       ; 70         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
858
; U4       ; 80         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
859
; U5       ;            ;          ; GND_PLL1                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
860
; U6       ;            ;          ; VCCD_PLL1                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
861
; U7       ;            ;          ; VCCA_PLL1                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
862
; U8       ; 92         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
863
; U9       ; 106        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
864
; U10      ; 107        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
865
; U11      ; 123        ; 8        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
866
; U12      ; 124        ; 8        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
867
; U13      ; 132        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[20]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
868
; U14      ; 146        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[18]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
869
; U15      ; 157        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[5]              ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
870
; U16      ;            ;          ; VCCA_PLL4                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
871
; U17      ;            ;          ; VCCD_PLL4                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
872
; U18      ; 170        ; 6        ; S_RED_LEDS_OUT[7]                        ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
873
; U19      ; 172        ; 6        ; S_RED_LEDS_OUT[2]                        ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
874
; U20      ; 176        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
875
; U21      ; 182        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
876
; U22      ; 183        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
877
; V1       ; 65         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
878
; V2       ; 66         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
879
; V3       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
880
; V4       ; 81         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
881
; V5       ;            ;          ; GND_PLL1                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
882
; V6       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
883
; V7       ;            ;          ; GNDA_PLL1                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
884
; V8       ; 98         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
885
; V9       ; 101        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
886
; V10      ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
887
; V11      ; 118        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
888
; V12      ; 126        ; 7        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
889
; V13      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
890
; V14      ; 142        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[19]             ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
891
; V15      ; 158        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[6]              ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
892
; V16      ;            ;          ; GNDA_PLL4                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
893
; V17      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
894
; V18      ;            ;          ; GND_PLL4                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
895
; V19      ; 166        ; 6        ; S_RED_LEDS_OUT[5]                        ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
896
; V20      ; 173        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
897
; V21      ; 180        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
898
; V22      ; 181        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
899
; W1       ; 71         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
900
; W2       ; 72         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
901
; W3       ; 75         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
902
; W4       ; 76         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
903
; W5       ; 79         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
904
; W6       ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
905
; W7       ; 99         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
906
; W8       ; 100        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
907
; W9       ; 105        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
908
; W10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
909
; W11      ; 117        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
910
; W12      ; 125        ; 7        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
911
; W13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
912
; W14      ; 141        ; 7        ; FLASH_MEMORY_nRESET_OUT                  ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
913
; W15      ; 149        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[7]              ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
914
; W16      ; 160        ; 7        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
915
; W17      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
916
; W18      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
917
; W19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
918
; W20      ; 167        ; 6        ; ~LVDS91p/nCEO~                           ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
919
; W21      ; 174        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
920
; W22      ; 175        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
921
; Y1       ; 73         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
922
; Y2       ; 74         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
923
; Y3       ; 77         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
924
; Y4       ; 78         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
925
; Y5       ; 86         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
926
; Y6       ; 87         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
927
; Y7       ; 93         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
928
; Y8       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
929
; Y9       ; 104        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
930
; Y10      ; 112        ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
931
; Y11      ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
932
; Y12      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
933
; Y13      ; 133        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[9]              ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
934
; Y14      ; 148        ; 7        ; FLASH_MEMORY_nWE_OUT                     ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
935
; Y15      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
936
; Y16      ; 154        ; 7        ; FLASH_MEMORY_ADDRESS_OUT[2]              ; output ; 3.3-V LVCMOS ;         ; Column I/O ; Y               ; no       ; Off          ;
937
; Y17      ; 159        ; 7        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
938
; Y18      ; 165        ; 6        ; S_RED_LEDS_OUT[6]                        ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
939
; Y19      ; 168        ; 6        ; S_RED_LEDS_OUT[3]                        ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
940
; Y20      ; 169        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
941
; Y21      ; 178        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
942
; Y22      ; 179        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
943
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
944
Note: Pin directions (input, output or bidir) are based on device operating in user mode.
945
 
946
 
947
+-------------------------------------------------------------------------------+
948
; Output Pin Default Load For Reported TCO                                      ;
949
+----------------------------------+-------+------------------------------------+
950
; I/O Standard                     ; Load  ; Termination Resistance             ;
951
+----------------------------------+-------+------------------------------------+
952
; 3.3-V LVTTL                      ; 0 pF  ; Not Available                      ;
953
; 3.3-V LVCMOS                     ; 0 pF  ; Not Available                      ;
954
; 2.5 V                            ; 0 pF  ; Not Available                      ;
955
; 1.8 V                            ; 0 pF  ; Not Available                      ;
956
; 1.5 V                            ; 0 pF  ; Not Available                      ;
957
; 3.3-V PCI                        ; 10 pF ; 25 Ohm (Parallel)                  ;
958
; 3.3-V PCI-X                      ; 10 pF ; 25 Ohm (Parallel)                  ;
959
; SSTL-2 Class I                   ; 0 pF  ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
960
; SSTL-2 Class II                  ; 0 pF  ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
961
; SSTL-18 Class I                  ; 0 pF  ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
962
; SSTL-18 Class II                 ; 0 pF  ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
963
; 1.5-V HSTL Class I               ; 0 pF  ; 50 Ohm (Parallel)                  ;
964
; 1.5-V HSTL Class II              ; 0 pF  ; 25 Ohm (Parallel)                  ;
965
; 1.8-V HSTL Class I               ; 0 pF  ; 50 Ohm (Parallel)                  ;
966
; 1.8-V HSTL Class II              ; 0 pF  ; 25 Ohm (Parallel)                  ;
967
; Differential SSTL-2              ; 0 pF  ; (See SSTL-2)                       ;
968
; Differential 2.5-V SSTL Class II ; 0 pF  ; (See SSTL-2 Class II)              ;
969
; Differential 1.8-V SSTL Class I  ; 0 pF  ; (See 1.8-V SSTL Class I)           ;
970
; Differential 1.8-V SSTL Class II ; 0 pF  ; (See 1.8-V SSTL Class II)          ;
971
; Differential 1.5-V HSTL Class I  ; 0 pF  ; (See 1.5-V HSTL Class I)           ;
972
; Differential 1.5-V HSTL Class II ; 0 pF  ; (See 1.5-V HSTL Class II)          ;
973
; Differential 1.8-V HSTL Class I  ; 0 pF  ; (See 1.8-V HSTL Class I)           ;
974
; Differential 1.8-V HSTL Class II ; 0 pF  ; (See 1.8-V HSTL Class II)          ;
975
; LVDS                             ; 0 pF  ; 100 Ohm (Differential)             ;
976
; mini-LVDS                        ; 0 pF  ; 100 Ohm (Differential)             ;
977
; RSDS                             ; 0 pF  ; 100 Ohm (Differential)             ;
978
; Simple RSDS                      ; 0 pF  ; Not Available                      ;
979
; Differential LVPECL              ; 0 pF  ; 100 Ohm (Differential)             ;
980
+----------------------------------+-------+------------------------------------+
981
Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables.
982
 
983
 
984
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
985
; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                                                                             ;
986
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------------------------------------------------------+--------------+
987
; Compilation Hierarchy Node                ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                                                                    ; Library Name ;
988
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------------------------------------------------------+--------------+
989
; |HD_ADPCM_Codec                           ; 1663 (110)  ; 364 (82)                  ; 0 (0)         ; 0           ; 0    ; 4            ; 0       ; 2         ; 82   ; 0            ; 1299 (28)    ; 28 (0)            ; 336 (84)         ; |HD_ADPCM_Codec                                                                                                                        ; work         ;
990
;    |ADPCM_Decoder_1_Bit:u5|               ; 669 (380)   ; 74 (74)                   ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 593 (305)    ; 0 (0)             ; 76 (75)          ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u5                                                                                                 ; work         ;
991
;       |lpm_divide:Div0|                   ; 289 (0)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 288 (0)      ; 0 (0)             ; 1 (0)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0                                                                                 ; work         ;
992
;          |lpm_divide_aem:auto_generated|  ; 289 (0)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 288 (0)      ; 0 (0)             ; 1 (0)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated                                                   ; work         ;
993
;             |sign_div_unsign_klh:divider| ; 289 (0)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 288 (0)      ; 0 (0)             ; 1 (0)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider                       ; work         ;
994
;                |alt_u_div_e2f:divider|    ; 289 (289)   ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 288 (288)    ; 0 (0)             ; 1 (1)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider ; work         ;
995
;       |lpm_mult:Mult0|                    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0                                                                                  ; work         ;
996
;          |mult_pu01:auto_generated|       ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated                                                         ; work         ;
997
;    |ADPCM_Decoder_1_Bit:u6|               ; 661 (372)   ; 77 (77)                   ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 584 (296)    ; 0 (0)             ; 77 (76)          ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u6                                                                                                 ; work         ;
998
;       |lpm_divide:Div0|                   ; 289 (0)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 288 (0)      ; 0 (0)             ; 1 (0)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0                                                                                 ; work         ;
999
;          |lpm_divide_aem:auto_generated|  ; 289 (0)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 288 (0)      ; 0 (0)             ; 1 (0)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated                                                   ; work         ;
1000
;             |sign_div_unsign_klh:divider| ; 289 (0)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 288 (0)      ; 0 (0)             ; 1 (0)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider                       ; work         ;
1001
;                |alt_u_div_e2f:divider|    ; 289 (289)   ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 288 (288)    ; 0 (0)             ; 1 (1)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider ; work         ;
1002
;       |lpm_mult:Mult0|                    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0                                                                                  ; work         ;
1003
;          |mult_pu01:auto_generated|       ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |HD_ADPCM_Codec|ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated                                                         ; work         ;
1004
;    |Flash_Memory_Driver:u4|               ; 71 (71)     ; 61 (61)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (10)      ; 28 (28)           ; 33 (33)          ; |HD_ADPCM_Codec|Flash_Memory_Driver:u4                                                                                                 ; work         ;
1005
;    |I2C_Driver:u2|                        ; 72 (72)     ; 34 (34)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 38 (38)      ; 0 (0)             ; 34 (34)          ; |HD_ADPCM_Codec|I2C_Driver:u2                                                                                                          ; work         ;
1006
;    |I2S_Driver:u3|                        ; 73 (73)     ; 36 (36)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 37 (37)      ; 0 (0)             ; 36 (36)          ; |HD_ADPCM_Codec|I2S_Driver:u3                                                                                                          ; work         ;
1007
;    |LEDs_Bar_Driver:u1|                   ; 9 (9)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (9)        ; 0 (0)             ; 0 (0)            ; |HD_ADPCM_Codec|LEDs_Bar_Driver:u1                                                                                                     ; work         ;
1008
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------------------------------------------------------+--------------+
1009
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
1010
 
1011
 
1012
+-------------------------------------------------------------------------------------------------------+
1013
; Delay Chain Summary                                                                                   ;
1014
+------------------------------+----------+---------------+---------------+-----------------------+-----+
1015
; Name                         ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ;
1016
+------------------------------+----------+---------------+---------------+-----------------------+-----+
1017
; I2C_DATA_INOUT               ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1018
; I2S_DATA_INOUT               ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1019
; FLASH_MEMORY_DATA_INOUT[0]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1020
; FLASH_MEMORY_DATA_INOUT[1]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1021
; FLASH_MEMORY_DATA_INOUT[2]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1022
; FLASH_MEMORY_DATA_INOUT[3]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1023
; FLASH_MEMORY_DATA_INOUT[4]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1024
; FLASH_MEMORY_DATA_INOUT[5]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1025
; FLASH_MEMORY_DATA_INOUT[6]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1026
; FLASH_MEMORY_DATA_INOUT[7]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1027
; S_SEVEN_SEGMENT_1_OUT[0]     ; Output   ; --            ; --            ; --                    ; --  ;
1028
; S_SEVEN_SEGMENT_1_OUT[1]     ; Output   ; --            ; --            ; --                    ; --  ;
1029
; S_SEVEN_SEGMENT_1_OUT[2]     ; Output   ; --            ; --            ; --                    ; --  ;
1030
; S_SEVEN_SEGMENT_1_OUT[3]     ; Output   ; --            ; --            ; --                    ; --  ;
1031
; S_SEVEN_SEGMENT_1_OUT[4]     ; Output   ; --            ; --            ; --                    ; --  ;
1032
; S_SEVEN_SEGMENT_1_OUT[5]     ; Output   ; --            ; --            ; --                    ; --  ;
1033
; S_SEVEN_SEGMENT_1_OUT[6]     ; Output   ; --            ; --            ; --                    ; --  ;
1034
; S_SEVEN_SEGMENT_2_OUT[0]     ; Output   ; --            ; --            ; --                    ; --  ;
1035
; S_SEVEN_SEGMENT_2_OUT[1]     ; Output   ; --            ; --            ; --                    ; --  ;
1036
; S_SEVEN_SEGMENT_2_OUT[2]     ; Output   ; --            ; --            ; --                    ; --  ;
1037
; S_SEVEN_SEGMENT_2_OUT[3]     ; Output   ; --            ; --            ; --                    ; --  ;
1038
; S_SEVEN_SEGMENT_2_OUT[4]     ; Output   ; --            ; --            ; --                    ; --  ;
1039
; S_SEVEN_SEGMENT_2_OUT[5]     ; Output   ; --            ; --            ; --                    ; --  ;
1040
; S_SEVEN_SEGMENT_2_OUT[6]     ; Output   ; --            ; --            ; --                    ; --  ;
1041
; S_SEVEN_SEGMENT_3_OUT[0]     ; Output   ; --            ; --            ; --                    ; --  ;
1042
; S_SEVEN_SEGMENT_3_OUT[1]     ; Output   ; --            ; --            ; --                    ; --  ;
1043
; S_SEVEN_SEGMENT_3_OUT[2]     ; Output   ; --            ; --            ; --                    ; --  ;
1044
; S_SEVEN_SEGMENT_3_OUT[3]     ; Output   ; --            ; --            ; --                    ; --  ;
1045
; S_SEVEN_SEGMENT_3_OUT[4]     ; Output   ; --            ; --            ; --                    ; --  ;
1046
; S_SEVEN_SEGMENT_3_OUT[5]     ; Output   ; --            ; --            ; --                    ; --  ;
1047
; S_SEVEN_SEGMENT_3_OUT[6]     ; Output   ; --            ; --            ; --                    ; --  ;
1048
; S_SEVEN_SEGMENT_4_OUT[0]     ; Output   ; --            ; --            ; --                    ; --  ;
1049
; S_SEVEN_SEGMENT_4_OUT[1]     ; Output   ; --            ; --            ; --                    ; --  ;
1050
; S_SEVEN_SEGMENT_4_OUT[2]     ; Output   ; --            ; --            ; --                    ; --  ;
1051
; S_SEVEN_SEGMENT_4_OUT[3]     ; Output   ; --            ; --            ; --                    ; --  ;
1052
; S_SEVEN_SEGMENT_4_OUT[4]     ; Output   ; --            ; --            ; --                    ; --  ;
1053
; S_SEVEN_SEGMENT_4_OUT[5]     ; Output   ; --            ; --            ; --                    ; --  ;
1054
; S_SEVEN_SEGMENT_4_OUT[6]     ; Output   ; --            ; --            ; --                    ; --  ;
1055
; S_RED_LEDS_OUT[0]            ; Output   ; --            ; --            ; --                    ; --  ;
1056
; S_RED_LEDS_OUT[1]            ; Output   ; --            ; --            ; --                    ; --  ;
1057
; S_RED_LEDS_OUT[2]            ; Output   ; --            ; --            ; --                    ; --  ;
1058
; S_RED_LEDS_OUT[3]            ; Output   ; --            ; --            ; --                    ; --  ;
1059
; S_RED_LEDS_OUT[4]            ; Output   ; --            ; --            ; --                    ; --  ;
1060
; S_RED_LEDS_OUT[5]            ; Output   ; --            ; --            ; --                    ; --  ;
1061
; S_RED_LEDS_OUT[6]            ; Output   ; --            ; --            ; --                    ; --  ;
1062
; S_RED_LEDS_OUT[7]            ; Output   ; --            ; --            ; --                    ; --  ;
1063
; S_RED_LEDS_OUT[8]            ; Output   ; --            ; --            ; --                    ; --  ;
1064
; S_RED_LEDS_OUT[9]            ; Output   ; --            ; --            ; --                    ; --  ;
1065
; I2C_CLOCK_OUT                ; Output   ; --            ; --            ; --                    ; --  ;
1066
; I2S_LEFT_RIGHT_CLOCK_OUT     ; Output   ; --            ; --            ; --                    ; --  ;
1067
; I2S_CLOCK_OUT                ; Output   ; --            ; --            ; --                    ; --  ;
1068
; I2S_CORE_CLOCK_OUT           ; Output   ; --            ; --            ; --                    ; --  ;
1069
; SWITCH_0                     ; Input    ; 0             ; 0             ; --                    ; --  ;
1070
; FLASH_MEMORY_ADDRESS_OUT[0]  ; Output   ; --            ; --            ; --                    ; --  ;
1071
; FLASH_MEMORY_ADDRESS_OUT[1]  ; Output   ; --            ; --            ; --                    ; --  ;
1072
; FLASH_MEMORY_ADDRESS_OUT[2]  ; Output   ; --            ; --            ; --                    ; --  ;
1073
; FLASH_MEMORY_ADDRESS_OUT[3]  ; Output   ; --            ; --            ; --                    ; --  ;
1074
; FLASH_MEMORY_ADDRESS_OUT[4]  ; Output   ; --            ; --            ; --                    ; --  ;
1075
; FLASH_MEMORY_ADDRESS_OUT[5]  ; Output   ; --            ; --            ; --                    ; --  ;
1076
; FLASH_MEMORY_ADDRESS_OUT[6]  ; Output   ; --            ; --            ; --                    ; --  ;
1077
; FLASH_MEMORY_ADDRESS_OUT[7]  ; Output   ; --            ; --            ; --                    ; --  ;
1078
; FLASH_MEMORY_ADDRESS_OUT[8]  ; Output   ; --            ; --            ; --                    ; --  ;
1079
; FLASH_MEMORY_ADDRESS_OUT[9]  ; Output   ; --            ; --            ; --                    ; --  ;
1080
; FLASH_MEMORY_ADDRESS_OUT[10] ; Output   ; --            ; --            ; --                    ; --  ;
1081
; FLASH_MEMORY_ADDRESS_OUT[11] ; Output   ; --            ; --            ; --                    ; --  ;
1082
; FLASH_MEMORY_ADDRESS_OUT[12] ; Output   ; --            ; --            ; --                    ; --  ;
1083
; FLASH_MEMORY_ADDRESS_OUT[13] ; Output   ; --            ; --            ; --                    ; --  ;
1084
; FLASH_MEMORY_ADDRESS_OUT[14] ; Output   ; --            ; --            ; --                    ; --  ;
1085
; FLASH_MEMORY_ADDRESS_OUT[15] ; Output   ; --            ; --            ; --                    ; --  ;
1086
; FLASH_MEMORY_ADDRESS_OUT[16] ; Output   ; --            ; --            ; --                    ; --  ;
1087
; FLASH_MEMORY_ADDRESS_OUT[17] ; Output   ; --            ; --            ; --                    ; --  ;
1088
; FLASH_MEMORY_ADDRESS_OUT[18] ; Output   ; --            ; --            ; --                    ; --  ;
1089
; FLASH_MEMORY_ADDRESS_OUT[19] ; Output   ; --            ; --            ; --                    ; --  ;
1090
; FLASH_MEMORY_ADDRESS_OUT[20] ; Output   ; --            ; --            ; --                    ; --  ;
1091
; FLASH_MEMORY_ADDRESS_OUT[21] ; Output   ; --            ; --            ; --                    ; --  ;
1092
; FLASH_MEMORY_nWE_OUT         ; Output   ; --            ; --            ; --                    ; --  ;
1093
; FLASH_MEMORY_nOE_OUT         ; Output   ; --            ; --            ; --                    ; --  ;
1094
; FLASH_MEMORY_nRESET_OUT      ; Output   ; --            ; --            ; --                    ; --  ;
1095
; FLASH_MEMORY_nCE_OUT         ; Output   ; --            ; --            ; --                    ; --  ;
1096
; CLOCK_IN                     ; Input    ; 0             ; 0             ; --                    ; --  ;
1097
; KEY_0                        ; Input    ; 6             ; 6             ; --                    ; --  ;
1098
; KEY_1                        ; Input    ; 6             ; 6             ; --                    ; --  ;
1099
+------------------------------+----------+---------------+---------------+-----------------------+-----+
1100
 
1101
 
1102
+---------------------------------------------------------------------------------------------+
1103
; Pad To Core Delay Chain Fanout                                                              ;
1104
+---------------------------------------------------------------+-------------------+---------+
1105
; Source Pin / Fanout                                           ; Pad To Core Index ; Setting ;
1106
+---------------------------------------------------------------+-------------------+---------+
1107
; I2C_DATA_INOUT                                                ;                   ;         ;
1108
; I2S_DATA_INOUT                                                ;                   ;         ;
1109
; FLASH_MEMORY_DATA_INOUT[0]                                    ;                   ;         ;
1110
;      - Flash_Memory_Driver:u4|FLASH_MEMORY_DATA_OUT[0]        ; 0                 ; 6       ;
1111
; FLASH_MEMORY_DATA_INOUT[1]                                    ;                   ;         ;
1112
;      - Flash_Memory_Driver:u4|FLASH_MEMORY_DATA_OUT[1]        ; 0                 ; 6       ;
1113
; FLASH_MEMORY_DATA_INOUT[2]                                    ;                   ;         ;
1114
;      - Flash_Memory_Driver:u4|FLASH_MEMORY_DATA_OUT[2]        ; 1                 ; 6       ;
1115
; FLASH_MEMORY_DATA_INOUT[3]                                    ;                   ;         ;
1116
;      - Flash_Memory_Driver:u4|FLASH_MEMORY_DATA_OUT[3]        ; 0                 ; 6       ;
1117
; FLASH_MEMORY_DATA_INOUT[4]                                    ;                   ;         ;
1118
;      - Flash_Memory_Driver:u4|FLASH_MEMORY_DATA_OUT[4]        ; 0                 ; 6       ;
1119
; FLASH_MEMORY_DATA_INOUT[5]                                    ;                   ;         ;
1120
;      - Flash_Memory_Driver:u4|FLASH_MEMORY_DATA_OUT[5]~feeder ; 0                 ; 6       ;
1121
; FLASH_MEMORY_DATA_INOUT[6]                                    ;                   ;         ;
1122
;      - Flash_Memory_Driver:u4|FLASH_MEMORY_DATA_OUT[6]~feeder ; 0                 ; 6       ;
1123
; FLASH_MEMORY_DATA_INOUT[7]                                    ;                   ;         ;
1124
;      - Flash_Memory_Driver:u4|FLASH_MEMORY_DATA_OUT[7]~feeder ; 0                 ; 6       ;
1125
; SWITCH_0                                                      ;                   ;         ;
1126
; CLOCK_IN                                                      ;                   ;         ;
1127
; KEY_0                                                         ;                   ;         ;
1128
;      - Add3~16                                                ; 1                 ; 6       ;
1129
;      - Add3~14                                                ; 1                 ; 6       ;
1130
;      - Add3~8                                                 ; 1                 ; 6       ;
1131
;      - Add3~6                                                 ; 1                 ; 6       ;
1132
;      - Add3~10                                                ; 1                 ; 6       ;
1133
;      - Add3~12                                                ; 1                 ; 6       ;
1134
;      - Add3~2                                                 ; 1                 ; 6       ;
1135
;      - AUDIO_CODEC_VOLUME[6]~30                               ; 1                 ; 6       ;
1136
; KEY_1                                                         ;                   ;         ;
1137
;      - AUDIO_CODEC_VOLUME[6]~30                               ; 0                 ; 6       ;
1138
+---------------------------------------------------------------+-------------------+---------+
1139
 
1140
 
1141
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1142
; Control Signals                                                                                                                                                                                              ;
1143
+--------------------------------------------------------------------+--------------------+---------+---------------------------+--------+----------------------+------------------+---------------------------+
1144
; Name                                                               ; Location           ; Fan-Out ; Usage                     ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
1145
+--------------------------------------------------------------------+--------------------+---------+---------------------------+--------+----------------------+------------------+---------------------------+
1146
; ADPCM_Decoder_1_Bit:u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45 ; LCCOMB_X34_Y17_N30 ; 11      ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1147
; ADPCM_Decoder_1_Bit:u5|Last_PCM_Data[15]~17                        ; LCCOMB_X37_Y12_N28 ; 34      ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1148
; ADPCM_Decoder_1_Bit:u5|PCM_DATA_OUT[15]~5                          ; LCCOMB_X37_Y12_N6  ; 32      ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1149
; ADPCM_Decoder_1_Bit:u5|PCM_Data[15]~182                            ; LCCOMB_X37_Y12_N16 ; 34      ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1150
; ADPCM_Decoder_1_Bit:u5|PCM_Data_Difference[0]~1                    ; LCCOMB_X34_Y17_N22 ; 29      ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1151
; ADPCM_Decoder_1_Bit:u5|process_0~0                                 ; LCCOMB_X36_Y13_N16 ; 7       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1152
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_State_Counter[0]              ; LCFF_X29_Y19_N1    ; 44      ; Sync. load                ; no     ; --                   ; --               ; --                        ;
1153
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45 ; LCCOMB_X29_Y19_N26 ; 11      ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1154
; AUDIO_CODEC_VOLUME[6]~30                                           ; LCCOMB_X14_Y12_N30 ; 7       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1155
; CLOCK_IN                                                           ; PIN_D12            ; 4       ; Clock                     ; no     ; --                   ; --               ; --                        ;
1156
; CLOCK_IN                                                           ; PIN_D12            ; 287     ; Clock                     ; yes    ; Global Clock         ; GCLK11           ; --                        ;
1157
; Equal0~0                                                           ; LCCOMB_X36_Y1_N14  ; 21      ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1158
; Equal1~7                                                           ; LCCOMB_X15_Y12_N30 ; 43      ; Clock enable, Sync. clear ; no     ; --                   ; --               ; --                        ;
1159
; Flash_Memory_Driver:u4|Equal0~7                                    ; LCCOMB_X15_Y10_N30 ; 26      ; Sync. clear               ; no     ; --                   ; --               ; --                        ;
1160
; Flash_Memory_Driver:u4|FLASH_MEMORY_ADDRESS[14]~0                  ; LCCOMB_X34_Y1_N14  ; 22      ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1161
; Flash_Memory_Driver:u4|Flash_Memory_Clock                          ; LCFF_X15_Y12_N9    ; 35      ; Clock                     ; yes    ; Global Clock         ; GCLK0            ; --                        ;
1162
; Flash_Memory_Driver:u4|Mux33~0                                     ; LCCOMB_X36_Y1_N26  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
1163
; I2C_ACTIVE_IN                                                      ; LCFF_X16_Y13_N1    ; 11      ; Sync. clear               ; no     ; --                   ; --               ; --                        ;
1164
; I2C_Driver:u2|Equal0~7                                             ; LCCOMB_X19_Y10_N6  ; 32      ; Clock enable, Sync. clear ; no     ; --                   ; --               ; --                        ;
1165
; I2S_ACTIVE_IN                                                      ; LCFF_X15_Y12_N5    ; 2       ; Async. clear, Clock       ; no     ; --                   ; --               ; --                        ;
1166
; I2S_Driver:u3|Equal0~7                                             ; LCCOMB_X23_Y15_N30 ; 26      ; Sync. clear               ; no     ; --                   ; --               ; --                        ;
1167
; I2S_Driver:u3|I2S_Clock                                            ; LCFF_X24_Y15_N29   ; 4       ; Clock                     ; no     ; --                   ; --               ; --                        ;
1168
; I2S_Driver:u3|I2S_Clock                                            ; LCFF_X24_Y15_N29   ; 8       ; Clock                     ; yes    ; Global Clock         ; GCLK9            ; --                        ;
1169
; I2S_Driver:u3|I2S_PCM_DATA_ACCESS_OUT                              ; LCFF_X29_Y13_N9    ; 31      ; Clock                     ; yes    ; Global Clock         ; GCLK3            ; --                        ;
1170
+--------------------------------------------------------------------+--------------------+---------+---------------------------+--------+----------------------+------------------+---------------------------+
1171
 
1172
 
1173
+----------------------------------------------------------------------------------------------------------------------------------------------+
1174
; Global & Other Fast Signals                                                                                                                  ;
1175
+-------------------------------------------+------------------+---------+----------------------+------------------+---------------------------+
1176
; Name                                      ; Location         ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
1177
+-------------------------------------------+------------------+---------+----------------------+------------------+---------------------------+
1178
; CLOCK_IN                                  ; PIN_D12          ; 287     ; Global Clock         ; GCLK11           ; --                        ;
1179
; Flash_Memory_Driver:u4|Flash_Memory_Clock ; LCFF_X15_Y12_N9  ; 35      ; Global Clock         ; GCLK0            ; --                        ;
1180
; I2S_Driver:u3|I2S_Clock                   ; LCFF_X24_Y15_N29 ; 8       ; Global Clock         ; GCLK9            ; --                        ;
1181
; I2S_Driver:u3|I2S_PCM_DATA_ACCESS_OUT     ; LCFF_X29_Y13_N9  ; 31      ; Global Clock         ; GCLK3            ; --                        ;
1182
+-------------------------------------------+------------------+---------+----------------------+------------------+---------------------------+
1183
 
1184
 
1185
+--------------------------------------------------------------------------------------------------------------------------------------------------------------+
1186
; Non-Global High Fan-Out Signals                                                                                                                              ;
1187
+----------------------------------------------------------------------------------------------------------------------------------------------------+---------+
1188
; Name                                                                                                                                               ; Fan-Out ;
1189
+----------------------------------------------------------------------------------------------------------------------------------------------------+---------+
1190
; ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_State_Counter[0]                                                                                              ; 44      ;
1191
; Equal1~7                                                                                                                                           ; 43      ;
1192
; ADPCM_Decoder_1_Bit:u5|Last_PCM_Data[15]~17                                                                                                        ; 34      ;
1193
; ADPCM_Decoder_1_Bit:u5|PCM_Data[15]~182                                                                                                            ; 34      ;
1194
; ADPCM_Decoder_1_Bit:u5|PCM_DATA_OUT[15]~5                                                                                                          ; 32      ;
1195
; I2C_Driver:u2|Equal0~7                                                                                                                             ; 32      ;
1196
; ADPCM_Decoder_1_Bit:u5|PCM_Data_Difference[0]~1                                                                                                    ; 29      ;
1197
; Flash_Memory_Driver:u4|Equal0~7                                                                                                                    ; 26      ;
1198
; I2S_Driver:u3|Equal0~7                                                                                                                             ; 26      ;
1199
; Flash_Memory_Driver:u4|FLASH_MEMORY_ADDRESS[14]~0                                                                                                  ; 22      ;
1200
; Equal0~0                                                                                                                                           ; 21      ;
1201
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_17_result_int[8]~12 ; 20      ;
1202
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_16_result_int[8]~12 ; 20      ;
1203
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[8]~12 ; 20      ;
1204
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_14_result_int[8]~12 ; 20      ;
1205
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_13_result_int[8]~12 ; 20      ;
1206
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_12_result_int[8]~12 ; 20      ;
1207
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[8]~12 ; 20      ;
1208
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[8]~12 ; 20      ;
1209
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[8]~12  ; 20      ;
1210
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[8]~12  ; 20      ;
1211
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[8]~12  ; 20      ;
1212
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_17_result_int[8]~12 ; 20      ;
1213
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_16_result_int[8]~12 ; 20      ;
1214
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[8]~12 ; 20      ;
1215
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_14_result_int[8]~12 ; 20      ;
1216
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_13_result_int[8]~12 ; 20      ;
1217
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_12_result_int[8]~12 ; 20      ;
1218
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[8]~12 ; 20      ;
1219
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[8]~12 ; 20      ;
1220
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[8]~12  ; 20      ;
1221
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[8]~12  ; 20      ;
1222
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[8]~12  ; 20      ;
1223
; ADPCM_DECODER_DATA_RIGHT                                                                                                                           ; 19      ;
1224
; ADPCM_DECODER_DATA_LEFT                                                                                                                            ; 19      ;
1225
; ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[7]~10  ; 19      ;
1226
; ADPCM_Decoder_1_Bit:u5|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[7]~10  ; 19      ;
1227
; ADPCM_Decoder_1_Bit:u6|LessThan17~4                                                                                                                ; 17      ;
1228
; ADPCM_Decoder_1_Bit:u6|LessThan15~5                                                                                                                ; 17      ;
1229
; ADPCM_Decoder_1_Bit:u5|Mux45~4                                                                                                                     ; 17      ;
1230
; ADPCM_Decoder_1_Bit:u5|PCM_Data~171                                                                                                                ; 17      ;
1231
; ADPCM_Decoder_1_Bit:u6|LessThan16~5                                                                                                                ; 16      ;
1232
; ADPCM_Decoder_1_Bit:u6|LessThan14~4                                                                                                                ; 16      ;
1233
; ADPCM_Decoder_1_Bit:u5|LessThan16~5                                                                                                                ; 16      ;
1234
; ADPCM_Decoder_1_Bit:u5|LessThan14~4                                                                                                                ; 16      ;
1235
; I2C_Driver:u2|I2C_Stream_Counter[1]                                                                                                                ; 16      ;
1236
; I2C_Stream_Counter[1]                                                                                                                              ; 15      ;
1237
; ADPCM_Decoder_1_Bit:u6|LessThan13~3                                                                                                                ; 14      ;
1238
; I2C_Stream_Counter[2]                                                                                                                              ; 14      ;
1239
; I2C_Stream_Counter[0]                                                                                                                              ; 14      ;
1240
+----------------------------------------------------------------------------------------------------------------------------------------------------+---------+
1241
 
1242
 
1243
+-----------------------------------------------------------------------------------------------+
1244
; Fitter DSP Block Usage Summary                                                                ;
1245
+---------------------------------------+-------------+---------------------+-------------------+
1246
; Statistic                             ; Number Used ; Available per Block ; Maximum Available ;
1247
+---------------------------------------+-------------+---------------------+-------------------+
1248
; Simple Multipliers (9-bit)            ; 0           ; 2                   ; 52                ;
1249
; Simple Multipliers (18-bit)           ; 2           ; 1                   ; 26                ;
1250
; Embedded Multiplier Blocks            ; 2           ; --                  ; 26                ;
1251
; Embedded Multiplier 9-bit elements    ; 4           ; 2                   ; 52                ;
1252
; Signed Embedded Multipliers           ; 0           ; --                  ; --                ;
1253
; Unsigned Embedded Multipliers         ; 2           ; --                  ; --                ;
1254
; Mixed Sign Embedded Multipliers       ; 0           ; --                  ; --                ;
1255
; Variable Sign Embedded Multipliers    ; 0           ; --                  ; --                ;
1256
; Dedicated Input Shift Register Chains ; 0           ; --                  ; --                ;
1257
+---------------------------------------+-------------+---------------------+-------------------+
1258
 
1259
 
1260
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1261
; DSP Block Details                                                                                                                                                                                                                                                          ;
1262
+-----------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+
1263
; Name                                                                        ; Mode                       ; Location           ; Sign Representation ; Has Input Shift Register Chain ; Data A Input Register ; Data B Input Register ; Pipeline Register ; Output Register ;
1264
+-----------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+
1265
; ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_out2     ; Simple Multiplier (18-bit) ; DSPOUT_X28_Y14_N2  ;                     ; No                             ;                       ;                       ;                   ; no              ;
1266
;    ADPCM_Decoder_1_Bit:u5|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1 ;                            ; DSPMULT_X28_Y14_N0 ; Variable            ;                                ; yes                   ; yes                   ; no                ;                 ;
1267
; ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_out2     ; Simple Multiplier (18-bit) ; DSPOUT_X28_Y17_N2  ;                     ; No                             ;                       ;                       ;                   ; no              ;
1268
;    ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1 ;                            ; DSPMULT_X28_Y17_N0 ; Variable            ;                                ; yes                   ; yes                   ; no                ;                 ;
1269
+-----------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+
1270
 
1271
 
1272
+-----------------------------------------------------+
1273
; Interconnect Usage Summary                          ;
1274
+----------------------------+------------------------+
1275
; Interconnect Resource Type ; Usage                  ;
1276
+----------------------------+------------------------+
1277
; Block interconnects        ; 1,888 / 54,004 ( 3 % ) ;
1278
; C16 interconnects          ; 1 / 2,100 ( < 1 % )    ;
1279
; C4 interconnects           ; 917 / 36,000 ( 3 % )   ;
1280
; Direct links               ; 578 / 54,004 ( 1 % )   ;
1281
; Global clocks              ; 4 / 16 ( 25 % )        ;
1282
; Local interconnects        ; 788 / 18,752 ( 4 % )   ;
1283
; R24 interconnects          ; 9 / 1,900 ( < 1 % )    ;
1284
; R4 interconnects           ; 938 / 46,920 ( 2 % )   ;
1285
+----------------------------+------------------------+
1286
 
1287
 
1288
+-----------------------------------------------------------------------------+
1289
; LAB Logic Elements                                                          ;
1290
+---------------------------------------------+-------------------------------+
1291
; Number of Logic Elements  (Average = 11.24) ; Number of LABs  (Total = 148) ;
1292
+---------------------------------------------+-------------------------------+
1293
; 1                                           ; 19                            ;
1294
; 2                                           ; 6                             ;
1295
; 3                                           ; 12                            ;
1296
; 4                                           ; 4                             ;
1297
; 5                                           ; 3                             ;
1298
; 6                                           ; 4                             ;
1299
; 7                                           ; 3                             ;
1300
; 8                                           ; 2                             ;
1301
; 9                                           ; 0                             ;
1302
; 10                                          ; 0                             ;
1303
; 11                                          ; 0                             ;
1304
; 12                                          ; 1                             ;
1305
; 13                                          ; 3                             ;
1306
; 14                                          ; 1                             ;
1307
; 15                                          ; 1                             ;
1308
; 16                                          ; 89                            ;
1309
+---------------------------------------------+-------------------------------+
1310
 
1311
 
1312
+--------------------------------------------------------------------+
1313
; LAB-wide Signals                                                   ;
1314
+------------------------------------+-------------------------------+
1315
; LAB-wide Signals  (Average = 0.77) ; Number of LABs  (Total = 148) ;
1316
+------------------------------------+-------------------------------+
1317
; 1 Clock                            ; 56                            ;
1318
; 1 Clock enable                     ; 28                            ;
1319
; 1 Sync. clear                      ; 5                             ;
1320
; 1 Sync. load                       ; 9                             ;
1321
; 2 Clock enables                    ; 10                            ;
1322
; 2 Clocks                           ; 6                             ;
1323
+------------------------------------+-------------------------------+
1324
 
1325
 
1326
+------------------------------------------------------------------------------+
1327
; LAB Signals Sourced                                                          ;
1328
+----------------------------------------------+-------------------------------+
1329
; Number of Signals Sourced  (Average = 13.34) ; Number of LABs  (Total = 148) ;
1330
+----------------------------------------------+-------------------------------+
1331
; 0                                            ; 0                             ;
1332
; 1                                            ; 12                            ;
1333
; 2                                            ; 12                            ;
1334
; 3                                            ; 12                            ;
1335
; 4                                            ; 4                             ;
1336
; 5                                            ; 3                             ;
1337
; 6                                            ; 3                             ;
1338
; 7                                            ; 3                             ;
1339
; 8                                            ; 2                             ;
1340
; 9                                            ; 1                             ;
1341
; 10                                           ; 0                             ;
1342
; 11                                           ; 2                             ;
1343
; 12                                           ; 0                             ;
1344
; 13                                           ; 2                             ;
1345
; 14                                           ; 1                             ;
1346
; 15                                           ; 24                            ;
1347
; 16                                           ; 18                            ;
1348
; 17                                           ; 12                            ;
1349
; 18                                           ; 5                             ;
1350
; 19                                           ; 4                             ;
1351
; 20                                           ; 1                             ;
1352
; 21                                           ; 2                             ;
1353
; 22                                           ; 1                             ;
1354
; 23                                           ; 4                             ;
1355
; 24                                           ; 2                             ;
1356
; 25                                           ; 4                             ;
1357
; 26                                           ; 1                             ;
1358
; 27                                           ; 1                             ;
1359
; 28                                           ; 4                             ;
1360
; 29                                           ; 5                             ;
1361
; 30                                           ; 1                             ;
1362
; 31                                           ; 0                             ;
1363
; 32                                           ; 2                             ;
1364
+----------------------------------------------+-------------------------------+
1365
 
1366
 
1367
+---------------------------------------------------------------------------------+
1368
; LAB Signals Sourced Out                                                         ;
1369
+-------------------------------------------------+-------------------------------+
1370
; Number of Signals Sourced Out  (Average = 8.07) ; Number of LABs  (Total = 148) ;
1371
+-------------------------------------------------+-------------------------------+
1372
; 0                                               ; 0                             ;
1373
; 1                                               ; 30                            ;
1374
; 2                                               ; 8                             ;
1375
; 3                                               ; 5                             ;
1376
; 4                                               ; 10                            ;
1377
; 5                                               ; 5                             ;
1378
; 6                                               ; 5                             ;
1379
; 7                                               ; 2                             ;
1380
; 8                                               ; 6                             ;
1381
; 9                                               ; 9                             ;
1382
; 10                                              ; 8                             ;
1383
; 11                                              ; 10                            ;
1384
; 12                                              ; 14                            ;
1385
; 13                                              ; 8                             ;
1386
; 14                                              ; 10                            ;
1387
; 15                                              ; 6                             ;
1388
; 16                                              ; 9                             ;
1389
; 17                                              ; 1                             ;
1390
; 18                                              ; 0                             ;
1391
; 19                                              ; 0                             ;
1392
; 20                                              ; 1                             ;
1393
; 21                                              ; 0                             ;
1394
; 22                                              ; 1                             ;
1395
+-------------------------------------------------+-------------------------------+
1396
 
1397
 
1398
+------------------------------------------------------------------------------+
1399
; LAB Distinct Inputs                                                          ;
1400
+----------------------------------------------+-------------------------------+
1401
; Number of Distinct Inputs  (Average = 11.52) ; Number of LABs  (Total = 148) ;
1402
+----------------------------------------------+-------------------------------+
1403
; 0                                            ; 0                             ;
1404
; 1                                            ; 3                             ;
1405
; 2                                            ; 18                            ;
1406
; 3                                            ; 7                             ;
1407
; 4                                            ; 12                            ;
1408
; 5                                            ; 6                             ;
1409
; 6                                            ; 11                            ;
1410
; 7                                            ; 1                             ;
1411
; 8                                            ; 4                             ;
1412
; 9                                            ; 3                             ;
1413
; 10                                           ; 0                             ;
1414
; 11                                           ; 5                             ;
1415
; 12                                           ; 3                             ;
1416
; 13                                           ; 4                             ;
1417
; 14                                           ; 12                            ;
1418
; 15                                           ; 10                            ;
1419
; 16                                           ; 9                             ;
1420
; 17                                           ; 7                             ;
1421
; 18                                           ; 11                            ;
1422
; 19                                           ; 1                             ;
1423
; 20                                           ; 4                             ;
1424
; 21                                           ; 1                             ;
1425
; 22                                           ; 1                             ;
1426
; 23                                           ; 2                             ;
1427
; 24                                           ; 3                             ;
1428
; 25                                           ; 1                             ;
1429
; 26                                           ; 0                             ;
1430
; 27                                           ; 1                             ;
1431
; 28                                           ; 5                             ;
1432
; 29                                           ; 0                             ;
1433
; 30                                           ; 1                             ;
1434
; 31                                           ; 1                             ;
1435
+----------------------------------------------+-------------------------------+
1436
 
1437
 
1438
+-------------------------------------------------------------------------+
1439
; Fitter Device Options                                                   ;
1440
+----------------------------------------------+--------------------------+
1441
; Option                                       ; Setting                  ;
1442
+----------------------------------------------+--------------------------+
1443
; Enable user-supplied start-up clock (CLKUSR) ; Off                      ;
1444
; Enable device-wide reset (DEV_CLRn)          ; Off                      ;
1445
; Enable device-wide output enable (DEV_OE)    ; Off                      ;
1446
; Enable INIT_DONE output                      ; Off                      ;
1447
; Configuration scheme                         ; Active Serial            ;
1448
; Error detection CRC                          ; Off                      ;
1449
; nCEO                                         ; As output driving ground ;
1450
; ASDO,nCSO                                    ; As input tri-stated      ;
1451
; Reserve all unused pins                      ; As input tri-stated      ;
1452
; Base pin-out file on sameframe device        ; Off                      ;
1453
+----------------------------------------------+--------------------------+
1454
 
1455
 
1456
+------------------------------------+
1457
; Operating Settings and Conditions  ;
1458
+---------------------------+--------+
1459
; Setting                   ; Value  ;
1460
+---------------------------+--------+
1461
; Nominal Core Voltage      ; 1.20 V ;
1462
; Low Junction Temperature  ; 0 °C   ;
1463
; High Junction Temperature ; 85 °C  ;
1464
+---------------------------+--------+
1465
 
1466
 
1467
+------------------------------------------------------------+
1468
; Estimated Delay Added for Hold Timing                      ;
1469
+-----------------+----------------------+-------------------+
1470
; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ;
1471
+-----------------+----------------------+-------------------+
1472
; CLOCK_IN        ; CLOCK_IN             ; 1.19932           ;
1473
+-----------------+----------------------+-------------------+
1474
Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off.
1475
This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer.
1476
 
1477
 
1478
+----------------------------+
1479
; Advanced Data - General    ;
1480
+--------------------+-------+
1481
; Name               ; Value ;
1482
+--------------------+-------+
1483
; Status Code        ; 0     ;
1484
; Desired User Slack ; 0     ;
1485
; Fit Attempts       ; 1     ;
1486
+--------------------+-------+
1487
 
1488
 
1489
+---------------------------------------------------------------------------------------+
1490
; Advanced Data - Placement Preparation                                                 ;
1491
+------------------------------------------------------------------+--------------------+
1492
; Name                                                             ; Value              ;
1493
+------------------------------------------------------------------+--------------------+
1494
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                 ;
1495
; Mid Wire Use - Fit Attempt 1                                     ; 4                  ;
1496
; Mid Slack - Fit Attempt 1                                        ; -52999             ;
1497
; Internal Atom Count - Fit Attempt 1                              ; 1997               ;
1498
; LE/ALM Count - Fit Attempt 1                                     ; 1663               ;
1499
; LAB Count - Fit Attempt 1                                        ; 148                ;
1500
; Outputs per Lab - Fit Attempt 1                                  ; 8.068              ;
1501
; Inputs per LAB - Fit Attempt 1                                   ; 11.041             ;
1502
; Global Inputs per LAB - Fit Attempt 1                            ; 0.432              ;
1503
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:136;1:11;2:1     ;
1504
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:95;1:35;2:10;3:8 ;
1505
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:95;1:35;2:10;3:8 ;
1506
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:148              ;
1507
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:87;1:58;2:3      ;
1508
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:101;1:46;2:1     ;
1509
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:95;1:41;2:12     ;
1510
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:86;1:42;2:20     ;
1511
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:86;1:61;2:1      ;
1512
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:127;1:21         ;
1513
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:139;1:9          ;
1514
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:134;1:14         ;
1515
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:140;2:7      ;
1516
; LEs in Chains - Fit Attempt 1                                    ; 641                ;
1517
; LEs in Long Chains - Fit Attempt 1                               ; 155                ;
1518
; LABs with Chains - Fit Attempt 1                                 ; 69                 ;
1519
; LABs with Multiple Chains - Fit Attempt 1                        ; 2                  ;
1520
; Time - Fit Attempt 1                                             ; 0                  ;
1521
; Time in tsm_tan.dll - Fit Attempt 1                              ; 0.016              ;
1522
+------------------------------------------------------------------+--------------------+
1523
 
1524
 
1525
+----------------------------------------------+
1526
; Advanced Data - Placement                    ;
1527
+-------------------------------------+--------+
1528
; Name                                ; Value  ;
1529
+-------------------------------------+--------+
1530
; Auto Fit Point 2 - Fit Attempt 1    ; ff     ;
1531
; Early Wire Use - Fit Attempt 1      ; 1      ;
1532
; Early Slack - Fit Attempt 1         ; -58133 ;
1533
; Auto Fit Point 5 - Fit Attempt 1    ; ff     ;
1534
; Mid Wire Use - Fit Attempt 1        ; 2      ;
1535
; Mid Slack - Fit Attempt 1           ; -52969 ;
1536
; Auto Fit Point 6 - Fit Attempt 1    ; ff     ;
1537
; Auto Fit Point 6 - Fit Attempt 1    ; ff     ;
1538
; Auto Fit Point 6 - Fit Attempt 1    ; ff     ;
1539
; Auto Fit Point 5 - Fit Attempt 1    ; ff     ;
1540
; Mid Wire Use - Fit Attempt 1        ; 2      ;
1541
; Mid Slack - Fit Attempt 1           ; -51441 ;
1542
; Auto Fit Point 6 - Fit Attempt 1    ; ff     ;
1543
; Auto Fit Point 6 - Fit Attempt 1    ; ff     ;
1544
; Auto Fit Point 6 - Fit Attempt 1    ; ff     ;
1545
; Late Wire Use - Fit Attempt 1       ; 2      ;
1546
; Late Slack - Fit Attempt 1          ; -50848 ;
1547
; Peak Regional Wire - Fit Attempt 1  ; 0.000  ;
1548
; Auto Fit Point 7 - Fit Attempt 1    ; ff     ;
1549
; Time - Fit Attempt 1                ; 2      ;
1550
; Time in tsm_tan.dll - Fit Attempt 1 ; 0.188  ;
1551
+-------------------------------------+--------+
1552
 
1553
 
1554
+---------------------------------------------------+
1555
; Advanced Data - Routing                           ;
1556
+-------------------------------------+-------------+
1557
; Name                                ; Value       ;
1558
+-------------------------------------+-------------+
1559
; Early Slack - Fit Attempt 1         ; -43804      ;
1560
; Early Wire Use - Fit Attempt 1      ; 2           ;
1561
; Peak Regional Wire - Fit Attempt 1  ; 6           ;
1562
; Mid Slack - Fit Attempt 1           ; -45236      ;
1563
; Late Slack - Fit Attempt 1          ; -2147483648 ;
1564
; Late Wire Use - Fit Attempt 1       ; 2           ;
1565
; Time - Fit Attempt 1                ; 3           ;
1566
; Time in tsm_tan.dll - Fit Attempt 1 ; 0.969       ;
1567
+-------------------------------------+-------------+
1568
 
1569
 
1570
+-----------------+
1571
; Fitter Messages ;
1572
+-----------------+
1573
Info: *******************************************************************
1574
Info: Running Quartus II Fitter
1575
    Info: Version 9.0 Build 132 02/25/2009 SJ Web Edition
1576
    Info: Processing started: Tue May 11 23:49:37 2010
1577
Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off HD_ADPCM_Codec -c HD_ADPCM_Codec
1578
Info: Selected device EP2C20F484C7 for design "HD_ADPCM_Codec"
1579
Info: Low junction temperature is 0 degrees C
1580
Info: High junction temperature is 85 degrees C
1581
Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
1582
Warning: Feature LogicLock is not available with your current license
1583
Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
1584
    Info: Device EP2C15AF484C7 is compatible
1585
    Info: Device EP2C35F484C7 is compatible
1586
    Info: Device EP2C50F484C7 is compatible
1587
Info: Fitter converted 3 user pins into dedicated programming pins
1588
    Info: Pin ~ASDO~ is reserved at location C4
1589
    Info: Pin ~nCSO~ is reserved at location C3
1590
    Info: Pin ~LVDS91p/nCEO~ is reserved at location W20
1591
Info: Fitter is using the Classic Timing Analyzer
1592
Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time.
1593
Info: Automatically promoted node CLOCK_IN (placed in PIN D12 (CLK10, LVDSCLK5n, Input))
1594
    Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G11
1595
    Info: Following destination nodes may be non-global or may not use global or regional clocks
1596
        Info: Destination node I2S_Driver:u3|I2S_Clock
1597
        Info: Destination node Flash_Memory_Driver:u4|Flash_Memory_Clock
1598
        Info: Destination node I2S_ACTIVE_IN
1599
Info: Automatically promoted node Flash_Memory_Driver:u4|Flash_Memory_Clock
1600
    Info: Automatically promoted destinations to use location or clock signal Global Clock
1601
    Info: Following destination nodes may be non-global or may not use global or regional clocks
1602
        Info: Destination node Flash_Memory_Driver:u4|Flash_Memory_Clock~1
1603
Info: Automatically promoted node I2S_Driver:u3|I2S_PCM_DATA_ACCESS_OUT
1604
    Info: Automatically promoted destinations to use location or clock signal Global Clock
1605
Info: Automatically promoted node I2S_Driver:u3|I2S_Clock
1606
    Info: Automatically promoted destinations to use location or clock signal Global Clock
1607
    Info: Following destination nodes may be non-global or may not use global or regional clocks
1608
        Info: Destination node I2S_Driver:u3|I2S_PCM_DATA_ACCESS_OUT
1609
        Info: Destination node I2S_Driver:u3|I2S_Clock~1
1610
        Info: Destination node I2S_CLOCK_OUT
1611
Info: Starting register packing
1612
Extra Info: Performing register packing on registers with non-logic cell location assignments
1613
Extra Info: Completed register packing on registers with non-logic cell location assignments
1614
Extra Info: Started Fast Input/Output/OE register processing
1615
Extra Info: Finished Fast Input/Output/OE register processing
1616
Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density
1617
Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks
1618
Info: Finished register packing
1619
    Extra Info: Packed 40 registers into blocks of type Embedded multiplier block
1620
    Extra Info: Created 40 register duplicates
1621
Info: Fitter preparation operations ending: elapsed time is 00:00:02
1622
Info: Fitter placement preparation operations beginning
1623
Info: Fitter placement preparation operations ending: elapsed time is 00:00:00
1624
Info: Fitter placement operations beginning
1625
Info: Fitter placement was successful
1626
Info: Fitter placement operations ending: elapsed time is 00:00:02
1627
Info: Slack time is -48.815 ns between source register "ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]" and destination register "ADPCM_Decoder_1_Bit:u6|PCM_Data_Difference[0]"
1628
    Info: + Largest register to register requirement is 0.768 ns
1629
    Info:   Shortest clock path from clock "CLOCK_IN" to destination register is 2.608 ns
1630
        Info: 1: + IC(0.000 ns) + CELL(0.783 ns) = 0.783 ns; Loc. = Unassigned; Fanout = 4; CLK Node = 'CLOCK_IN'
1631
        Info: 2: + IC(0.235 ns) + CELL(0.000 ns) = 1.018 ns; Loc. = Unassigned; Fanout = 325; COMB Node = 'CLOCK_IN~clkctrl'
1632
        Info: 3: + IC(0.988 ns) + CELL(0.602 ns) = 2.608 ns; Loc. = Unassigned; Fanout = 1; REG Node = 'ADPCM_Decoder_1_Bit:u6|PCM_Data_Difference[0]'
1633
        Info: Total cell delay = 1.385 ns ( 53.11 % )
1634
        Info: Total interconnect delay = 1.223 ns ( 46.89 % )
1635
    Info:   Longest clock path from clock "CLOCK_IN" to destination register is 2.608 ns
1636
        Info: 1: + IC(0.000 ns) + CELL(0.783 ns) = 0.783 ns; Loc. = Unassigned; Fanout = 4; CLK Node = 'CLOCK_IN'
1637
        Info: 2: + IC(0.235 ns) + CELL(0.000 ns) = 1.018 ns; Loc. = Unassigned; Fanout = 325; COMB Node = 'CLOCK_IN~clkctrl'
1638
        Info: 3: + IC(0.988 ns) + CELL(0.602 ns) = 2.608 ns; Loc. = Unassigned; Fanout = 1; REG Node = 'ADPCM_Decoder_1_Bit:u6|PCM_Data_Difference[0]'
1639
        Info: Total cell delay = 1.385 ns ( 53.11 % )
1640
        Info: Total interconnect delay = 1.223 ns ( 46.89 % )
1641
    Info:   Shortest clock path from clock "CLOCK_IN" to source register is 2.878 ns
1642
        Info: 1: + IC(0.000 ns) + CELL(0.783 ns) = 0.783 ns; Loc. = Unassigned; Fanout = 4; CLK Node = 'CLOCK_IN'
1643
        Info: 2: + IC(0.235 ns) + CELL(0.000 ns) = 1.018 ns; Loc. = Unassigned; Fanout = 325; COMB Node = 'CLOCK_IN~clkctrl'
1644
        Info: 3: + IC(0.988 ns) + CELL(0.872 ns) = 2.878 ns; Loc. = Unassigned; Fanout = 11; REG Node = 'ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]'
1645
        Info: Total cell delay = 1.655 ns ( 57.51 % )
1646
        Info: Total interconnect delay = 1.223 ns ( 42.49 % )
1647
    Info:   Longest clock path from clock "CLOCK_IN" to source register is 2.878 ns
1648
        Info: 1: + IC(0.000 ns) + CELL(0.783 ns) = 0.783 ns; Loc. = Unassigned; Fanout = 4; CLK Node = 'CLOCK_IN'
1649
        Info: 2: + IC(0.235 ns) + CELL(0.000 ns) = 1.018 ns; Loc. = Unassigned; Fanout = 325; COMB Node = 'CLOCK_IN~clkctrl'
1650
        Info: 3: + IC(0.988 ns) + CELL(0.872 ns) = 2.878 ns; Loc. = Unassigned; Fanout = 11; REG Node = 'ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]'
1651
        Info: Total cell delay = 1.655 ns ( 57.51 % )
1652
        Info: Total interconnect delay = 1.223 ns ( 42.49 % )
1653
    Info:   Micro clock to output delay of source is 0.000 ns
1654
    Info:   Micro setup delay of destination is -0.038 ns
1655
    Info: - Longest register to register delay is 49.583 ns
1656
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 11; REG Node = 'ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]'
1657
        Info: 2: + IC(0.000 ns) + CELL(3.257 ns) = 3.257 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1~DATAOUT15'
1658
        Info: 3: + IC(0.000 ns) + CELL(0.304 ns) = 3.561 ns; Loc. = Unassigned; Fanout = 4; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_out2~DATAOUT15'
1659
        Info: 4: + IC(0.965 ns) + CELL(0.517 ns) = 5.043 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[2]~1'
1660
        Info: 5: + IC(0.000 ns) + CELL(0.080 ns) = 5.123 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[3]~3'
1661
        Info: 6: + IC(0.000 ns) + CELL(0.080 ns) = 5.203 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[4]~5'
1662
        Info: 7: + IC(0.000 ns) + CELL(0.080 ns) = 5.283 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[5]~7'
1663
        Info: 8: + IC(0.000 ns) + CELL(0.080 ns) = 5.363 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[6]~9'
1664
        Info: 9: + IC(0.000 ns) + CELL(0.458 ns) = 5.821 ns; Loc. = Unassigned; Fanout = 19; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[7]~10'
1665
        Info: 10: + IC(1.073 ns) + CELL(0.177 ns) = 7.071 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[50]~221'
1666
        Info: 11: + IC(1.073 ns) + CELL(0.495 ns) = 8.639 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[3]~3'
1667
        Info: 12: + IC(0.000 ns) + CELL(0.080 ns) = 8.719 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[4]~5'
1668
        Info: 13: + IC(0.000 ns) + CELL(0.080 ns) = 8.799 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[5]~7'
1669
        Info: 14: + IC(0.000 ns) + CELL(0.080 ns) = 8.879 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[6]~9'
1670
        Info: 15: + IC(0.000 ns) + CELL(0.080 ns) = 8.959 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[7]~11'
1671
        Info: 16: + IC(0.000 ns) + CELL(0.458 ns) = 9.417 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[8]~12'
1672
        Info: 17: + IC(0.388 ns) + CELL(0.521 ns) = 10.326 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[58]~197'
1673
        Info: 18: + IC(1.015 ns) + CELL(0.517 ns) = 11.858 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[3]~3'
1674
        Info: 19: + IC(0.000 ns) + CELL(0.080 ns) = 11.938 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[4]~5'
1675
        Info: 20: + IC(0.000 ns) + CELL(0.080 ns) = 12.018 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[5]~7'
1676
        Info: 21: + IC(0.000 ns) + CELL(0.080 ns) = 12.098 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[6]~9'
1677
        Info: 22: + IC(0.000 ns) + CELL(0.080 ns) = 12.178 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[7]~11'
1678
        Info: 23: + IC(0.000 ns) + CELL(0.458 ns) = 12.636 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[8]~12'
1679
        Info: 24: + IC(1.039 ns) + CELL(0.178 ns) = 13.853 ns; Loc. = Unassigned; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[67]~581'
1680
        Info: 25: + IC(1.375 ns) + CELL(0.517 ns) = 15.745 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[4]~5'
1681
        Info: 26: + IC(0.000 ns) + CELL(0.080 ns) = 15.825 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[5]~7'
1682
        Info: 27: + IC(0.000 ns) + CELL(0.080 ns) = 15.905 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[6]~9'
1683
        Info: 28: + IC(0.000 ns) + CELL(0.080 ns) = 15.985 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[7]~11'
1684
        Info: 29: + IC(0.000 ns) + CELL(0.458 ns) = 16.443 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[8]~12'
1685
        Info: 30: + IC(1.039 ns) + CELL(0.177 ns) = 17.659 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[73]~174'
1686
        Info: 31: + IC(0.498 ns) + CELL(0.495 ns) = 18.652 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[2]~1'
1687
        Info: 32: + IC(0.000 ns) + CELL(0.080 ns) = 18.732 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[3]~3'
1688
        Info: 33: + IC(0.000 ns) + CELL(0.080 ns) = 18.812 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[4]~5'
1689
        Info: 34: + IC(0.000 ns) + CELL(0.080 ns) = 18.892 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[5]~7'
1690
        Info: 35: + IC(0.000 ns) + CELL(0.080 ns) = 18.972 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[6]~9'
1691
        Info: 36: + IC(0.000 ns) + CELL(0.080 ns) = 19.052 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[7]~11'
1692
        Info: 37: + IC(0.000 ns) + CELL(0.458 ns) = 19.510 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[8]~12'
1693
        Info: 38: + IC(0.588 ns) + CELL(0.319 ns) = 20.417 ns; Loc. = Unassigned; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[83]~544'
1694
        Info: 39: + IC(1.016 ns) + CELL(0.517 ns) = 21.950 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[4]~5'
1695
        Info: 40: + IC(0.000 ns) + CELL(0.080 ns) = 22.030 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[5]~7'
1696
        Info: 41: + IC(0.000 ns) + CELL(0.080 ns) = 22.110 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[6]~9'
1697
        Info: 42: + IC(0.000 ns) + CELL(0.080 ns) = 22.190 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[7]~11'
1698
        Info: 43: + IC(0.000 ns) + CELL(0.458 ns) = 22.648 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[8]~12'
1699
        Info: 44: + IC(0.895 ns) + CELL(0.319 ns) = 23.862 ns; Loc. = Unassigned; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[92]~547'
1700
        Info: 45: + IC(1.017 ns) + CELL(0.517 ns) = 25.396 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_12_result_int[5]~7'
1701
        Info: 46: + IC(0.000 ns) + CELL(0.080 ns) = 25.476 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_12_result_int[6]~9'
1702
        Info: 47: + IC(0.000 ns) + CELL(0.080 ns) = 25.556 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_12_result_int[7]~11'
1703
        Info: 48: + IC(0.000 ns) + CELL(0.458 ns) = 26.014 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_12_result_int[8]~12'
1704
        Info: 49: + IC(0.896 ns) + CELL(0.319 ns) = 27.229 ns; Loc. = Unassigned; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[101]~550'
1705
        Info: 50: + IC(1.359 ns) + CELL(0.517 ns) = 29.105 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_13_result_int[6]~9'
1706
        Info: 51: + IC(0.000 ns) + CELL(0.080 ns) = 29.185 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_13_result_int[7]~11'
1707
        Info: 52: + IC(0.000 ns) + CELL(0.458 ns) = 29.643 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_13_result_int[8]~12'
1708
        Info: 53: + IC(1.238 ns) + CELL(0.319 ns) = 31.200 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[110]~553'
1709
        Info: 54: + IC(1.362 ns) + CELL(0.517 ns) = 33.079 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_14_result_int[7]~11'
1710
        Info: 55: + IC(0.000 ns) + CELL(0.458 ns) = 33.537 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_14_result_int[8]~12'
1711
        Info: 56: + IC(0.498 ns) + CELL(0.177 ns) = 34.212 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[113]~94'
1712
        Info: 57: + IC(1.073 ns) + CELL(0.495 ns) = 35.780 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[2]~1'
1713
        Info: 58: + IC(0.000 ns) + CELL(0.080 ns) = 35.860 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[3]~3'
1714
        Info: 59: + IC(0.000 ns) + CELL(0.080 ns) = 35.940 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[4]~5'
1715
        Info: 60: + IC(0.000 ns) + CELL(0.080 ns) = 36.020 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[5]~7'
1716
        Info: 61: + IC(0.000 ns) + CELL(0.080 ns) = 36.100 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[6]~9'
1717
        Info: 62: + IC(0.000 ns) + CELL(0.080 ns) = 36.180 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[7]~11'
1718
        Info: 63: + IC(0.000 ns) + CELL(0.458 ns) = 36.638 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[8]~12'
1719
        Info: 64: + IC(0.598 ns) + CELL(0.319 ns) = 37.555 ns; Loc. = Unassigned; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[124]~563'
1720
        Info: 65: + IC(1.016 ns) + CELL(0.517 ns) = 39.088 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_16_result_int[5]~7'
1721
        Info: 66: + IC(0.000 ns) + CELL(0.080 ns) = 39.168 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_16_result_int[6]~9'
1722
        Info: 67: + IC(0.000 ns) + CELL(0.080 ns) = 39.248 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_16_result_int[7]~11'
1723
        Info: 68: + IC(0.000 ns) + CELL(0.458 ns) = 39.706 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_16_result_int[8]~12'
1724
        Info: 69: + IC(0.949 ns) + CELL(0.319 ns) = 40.974 ns; Loc. = Unassigned; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[132]~567'
1725
        Info: 70: + IC(1.070 ns) + CELL(0.517 ns) = 42.561 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_17_result_int[5]~7'
1726
        Info: 71: + IC(0.000 ns) + CELL(0.080 ns) = 42.641 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_17_result_int[6]~9'
1727
        Info: 72: + IC(0.000 ns) + CELL(0.080 ns) = 42.721 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_17_result_int[7]~11'
1728
        Info: 73: + IC(0.000 ns) + CELL(0.458 ns) = 43.179 ns; Loc. = Unassigned; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_17_result_int[8]~12'
1729
        Info: 74: + IC(0.895 ns) + CELL(0.319 ns) = 44.393 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[142]~569'
1730
        Info: 75: + IC(1.359 ns) + CELL(0.517 ns) = 46.269 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|op_9~32'
1731
        Info: 76: + IC(0.000 ns) + CELL(0.458 ns) = 46.727 ns; Loc. = Unassigned; Fanout = 13; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|op_9~33'
1732
        Info: 77: + IC(0.732 ns) + CELL(0.177 ns) = 47.636 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[145]~30'
1733
        Info: 78: + IC(0.498 ns) + CELL(0.495 ns) = 48.629 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[2]~1'
1734
        Info: 79: + IC(0.000 ns) + CELL(0.080 ns) = 48.709 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[3]~3'
1735
        Info: 80: + IC(0.000 ns) + CELL(0.080 ns) = 48.789 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[4]~5'
1736
        Info: 81: + IC(0.000 ns) + CELL(0.080 ns) = 48.869 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[5]~7'
1737
        Info: 82: + IC(0.000 ns) + CELL(0.080 ns) = 48.949 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[6]~9'
1738
        Info: 83: + IC(0.000 ns) + CELL(0.080 ns) = 49.029 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[7]~11'
1739
        Info: 84: + IC(0.000 ns) + CELL(0.458 ns) = 49.487 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[8]~12'
1740
        Info: 85: + IC(0.000 ns) + CELL(0.096 ns) = 49.583 ns; Loc. = Unassigned; Fanout = 1; REG Node = 'ADPCM_Decoder_1_Bit:u6|PCM_Data_Difference[0]'
1741
        Info: Total cell delay = 24.059 ns ( 48.52 % )
1742
        Info: Total interconnect delay = 25.524 ns ( 51.48 % )
1743
Info: Estimated most critical path is register to register delay of 49.583 ns
1744
    Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = DSPMULT_X28_Y17_N0; Fanout = 11; REG Node = 'ADPCM_Decoder_1_Bit:u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]'
1745
    Info: 2: + IC(0.000 ns) + CELL(3.257 ns) = 3.257 ns; Loc. = DSPMULT_X28_Y17_N0; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_mult1~DATAOUT15'
1746
    Info: 3: + IC(0.000 ns) + CELL(0.304 ns) = 3.561 ns; Loc. = DSPOUT_X28_Y17_N2; Fanout = 4; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_mult:Mult0|mult_pu01:auto_generated|mac_out2~DATAOUT15'
1747
    Info: 4: + IC(0.965 ns) + CELL(0.517 ns) = 5.043 ns; Loc. = LAB_X30_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[2]~1'
1748
    Info: 5: + IC(0.000 ns) + CELL(0.080 ns) = 5.123 ns; Loc. = LAB_X30_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[3]~3'
1749
    Info: 6: + IC(0.000 ns) + CELL(0.080 ns) = 5.203 ns; Loc. = LAB_X30_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[4]~5'
1750
    Info: 7: + IC(0.000 ns) + CELL(0.080 ns) = 5.283 ns; Loc. = LAB_X30_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[5]~7'
1751
    Info: 8: + IC(0.000 ns) + CELL(0.080 ns) = 5.363 ns; Loc. = LAB_X30_Y17; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[6]~9'
1752
    Info: 9: + IC(0.000 ns) + CELL(0.458 ns) = 5.821 ns; Loc. = LAB_X30_Y17; Fanout = 19; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_6_result_int[7]~10'
1753
    Info: 10: + IC(1.073 ns) + CELL(0.177 ns) = 7.071 ns; Loc. = LAB_X29_Y18; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[50]~221'
1754
    Info: 11: + IC(1.073 ns) + CELL(0.495 ns) = 8.639 ns; Loc. = LAB_X30_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[3]~3'
1755
    Info: 12: + IC(0.000 ns) + CELL(0.080 ns) = 8.719 ns; Loc. = LAB_X30_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[4]~5'
1756
    Info: 13: + IC(0.000 ns) + CELL(0.080 ns) = 8.799 ns; Loc. = LAB_X30_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[5]~7'
1757
    Info: 14: + IC(0.000 ns) + CELL(0.080 ns) = 8.879 ns; Loc. = LAB_X30_Y17; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[6]~9'
1758
    Info: 15: + IC(0.000 ns) + CELL(0.080 ns) = 8.959 ns; Loc. = LAB_X30_Y17; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[7]~11'
1759
    Info: 16: + IC(0.000 ns) + CELL(0.458 ns) = 9.417 ns; Loc. = LAB_X30_Y17; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_7_result_int[8]~12'
1760
    Info: 17: + IC(0.388 ns) + CELL(0.521 ns) = 10.326 ns; Loc. = LAB_X29_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[58]~197'
1761
    Info: 18: + IC(1.015 ns) + CELL(0.517 ns) = 11.858 ns; Loc. = LAB_X31_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[3]~3'
1762
    Info: 19: + IC(0.000 ns) + CELL(0.080 ns) = 11.938 ns; Loc. = LAB_X31_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[4]~5'
1763
    Info: 20: + IC(0.000 ns) + CELL(0.080 ns) = 12.018 ns; Loc. = LAB_X31_Y17; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[5]~7'
1764
    Info: 21: + IC(0.000 ns) + CELL(0.080 ns) = 12.098 ns; Loc. = LAB_X31_Y17; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[6]~9'
1765
    Info: 22: + IC(0.000 ns) + CELL(0.080 ns) = 12.178 ns; Loc. = LAB_X31_Y17; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[7]~11'
1766
    Info: 23: + IC(0.000 ns) + CELL(0.458 ns) = 12.636 ns; Loc. = LAB_X31_Y17; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_8_result_int[8]~12'
1767
    Info: 24: + IC(1.039 ns) + CELL(0.178 ns) = 13.853 ns; Loc. = LAB_X29_Y17; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[67]~581'
1768
    Info: 25: + IC(1.375 ns) + CELL(0.517 ns) = 15.745 ns; Loc. = LAB_X32_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[4]~5'
1769
    Info: 26: + IC(0.000 ns) + CELL(0.080 ns) = 15.825 ns; Loc. = LAB_X32_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[5]~7'
1770
    Info: 27: + IC(0.000 ns) + CELL(0.080 ns) = 15.905 ns; Loc. = LAB_X32_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[6]~9'
1771
    Info: 28: + IC(0.000 ns) + CELL(0.080 ns) = 15.985 ns; Loc. = LAB_X32_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[7]~11'
1772
    Info: 29: + IC(0.000 ns) + CELL(0.458 ns) = 16.443 ns; Loc. = LAB_X32_Y20; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_9_result_int[8]~12'
1773
    Info: 30: + IC(1.039 ns) + CELL(0.177 ns) = 17.659 ns; Loc. = LAB_X34_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[73]~174'
1774
    Info: 31: + IC(0.498 ns) + CELL(0.495 ns) = 18.652 ns; Loc. = LAB_X34_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[2]~1'
1775
    Info: 32: + IC(0.000 ns) + CELL(0.080 ns) = 18.732 ns; Loc. = LAB_X34_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[3]~3'
1776
    Info: 33: + IC(0.000 ns) + CELL(0.080 ns) = 18.812 ns; Loc. = LAB_X34_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[4]~5'
1777
    Info: 34: + IC(0.000 ns) + CELL(0.080 ns) = 18.892 ns; Loc. = LAB_X34_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[5]~7'
1778
    Info: 35: + IC(0.000 ns) + CELL(0.080 ns) = 18.972 ns; Loc. = LAB_X34_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[6]~9'
1779
    Info: 36: + IC(0.000 ns) + CELL(0.080 ns) = 19.052 ns; Loc. = LAB_X34_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[7]~11'
1780
    Info: 37: + IC(0.000 ns) + CELL(0.458 ns) = 19.510 ns; Loc. = LAB_X34_Y20; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_10_result_int[8]~12'
1781
    Info: 38: + IC(0.588 ns) + CELL(0.319 ns) = 20.417 ns; Loc. = LAB_X33_Y20; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[83]~544'
1782
    Info: 39: + IC(1.016 ns) + CELL(0.517 ns) = 21.950 ns; Loc. = LAB_X35_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[4]~5'
1783
    Info: 40: + IC(0.000 ns) + CELL(0.080 ns) = 22.030 ns; Loc. = LAB_X35_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[5]~7'
1784
    Info: 41: + IC(0.000 ns) + CELL(0.080 ns) = 22.110 ns; Loc. = LAB_X35_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[6]~9'
1785
    Info: 42: + IC(0.000 ns) + CELL(0.080 ns) = 22.190 ns; Loc. = LAB_X35_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[7]~11'
1786
    Info: 43: + IC(0.000 ns) + CELL(0.458 ns) = 22.648 ns; Loc. = LAB_X35_Y20; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_11_result_int[8]~12'
1787
    Info: 44: + IC(0.895 ns) + CELL(0.319 ns) = 23.862 ns; Loc. = LAB_X33_Y20; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[92]~547'
1788
    Info: 45: + IC(1.017 ns) + CELL(0.517 ns) = 25.396 ns; Loc. = LAB_X36_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_12_result_int[5]~7'
1789
    Info: 46: + IC(0.000 ns) + CELL(0.080 ns) = 25.476 ns; Loc. = LAB_X36_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_12_result_int[6]~9'
1790
    Info: 47: + IC(0.000 ns) + CELL(0.080 ns) = 25.556 ns; Loc. = LAB_X36_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_12_result_int[7]~11'
1791
    Info: 48: + IC(0.000 ns) + CELL(0.458 ns) = 26.014 ns; Loc. = LAB_X36_Y20; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_12_result_int[8]~12'
1792
    Info: 49: + IC(0.896 ns) + CELL(0.319 ns) = 27.229 ns; Loc. = LAB_X33_Y20; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[101]~550'
1793
    Info: 50: + IC(1.359 ns) + CELL(0.517 ns) = 29.105 ns; Loc. = LAB_X36_Y21; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_13_result_int[6]~9'
1794
    Info: 51: + IC(0.000 ns) + CELL(0.080 ns) = 29.185 ns; Loc. = LAB_X36_Y21; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_13_result_int[7]~11'
1795
    Info: 52: + IC(0.000 ns) + CELL(0.458 ns) = 29.643 ns; Loc. = LAB_X36_Y21; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_13_result_int[8]~12'
1796
    Info: 53: + IC(1.238 ns) + CELL(0.319 ns) = 31.200 ns; Loc. = LAB_X33_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[110]~553'
1797
    Info: 54: + IC(1.362 ns) + CELL(0.517 ns) = 33.079 ns; Loc. = LAB_X37_Y21; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_14_result_int[7]~11'
1798
    Info: 55: + IC(0.000 ns) + CELL(0.458 ns) = 33.537 ns; Loc. = LAB_X37_Y21; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_14_result_int[8]~12'
1799
    Info: 56: + IC(0.498 ns) + CELL(0.177 ns) = 34.212 ns; Loc. = LAB_X37_Y21; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[113]~94'
1800
    Info: 57: + IC(1.073 ns) + CELL(0.495 ns) = 35.780 ns; Loc. = LAB_X38_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[2]~1'
1801
    Info: 58: + IC(0.000 ns) + CELL(0.080 ns) = 35.860 ns; Loc. = LAB_X38_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[3]~3'
1802
    Info: 59: + IC(0.000 ns) + CELL(0.080 ns) = 35.940 ns; Loc. = LAB_X38_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[4]~5'
1803
    Info: 60: + IC(0.000 ns) + CELL(0.080 ns) = 36.020 ns; Loc. = LAB_X38_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[5]~7'
1804
    Info: 61: + IC(0.000 ns) + CELL(0.080 ns) = 36.100 ns; Loc. = LAB_X38_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[6]~9'
1805
    Info: 62: + IC(0.000 ns) + CELL(0.080 ns) = 36.180 ns; Loc. = LAB_X38_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[7]~11'
1806
    Info: 63: + IC(0.000 ns) + CELL(0.458 ns) = 36.638 ns; Loc. = LAB_X38_Y20; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_15_result_int[8]~12'
1807
    Info: 64: + IC(0.598 ns) + CELL(0.319 ns) = 37.555 ns; Loc. = LAB_X37_Y20; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[124]~563'
1808
    Info: 65: + IC(1.016 ns) + CELL(0.517 ns) = 39.088 ns; Loc. = LAB_X39_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_16_result_int[5]~7'
1809
    Info: 66: + IC(0.000 ns) + CELL(0.080 ns) = 39.168 ns; Loc. = LAB_X39_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_16_result_int[6]~9'
1810
    Info: 67: + IC(0.000 ns) + CELL(0.080 ns) = 39.248 ns; Loc. = LAB_X39_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_16_result_int[7]~11'
1811
    Info: 68: + IC(0.000 ns) + CELL(0.458 ns) = 39.706 ns; Loc. = LAB_X39_Y20; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_16_result_int[8]~12'
1812
    Info: 69: + IC(0.949 ns) + CELL(0.319 ns) = 40.974 ns; Loc. = LAB_X39_Y18; Fanout = 3; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[132]~567'
1813
    Info: 70: + IC(1.070 ns) + CELL(0.517 ns) = 42.561 ns; Loc. = LAB_X40_Y20; Fanout = 2; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_17_result_int[5]~7'
1814
    Info: 71: + IC(0.000 ns) + CELL(0.080 ns) = 42.641 ns; Loc. = LAB_X40_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_17_result_int[6]~9'
1815
    Info: 72: + IC(0.000 ns) + CELL(0.080 ns) = 42.721 ns; Loc. = LAB_X40_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_17_result_int[7]~11'
1816
    Info: 73: + IC(0.000 ns) + CELL(0.458 ns) = 43.179 ns; Loc. = LAB_X40_Y20; Fanout = 20; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_17_result_int[8]~12'
1817
    Info: 74: + IC(0.895 ns) + CELL(0.319 ns) = 44.393 ns; Loc. = LAB_X37_Y20; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[142]~569'
1818
    Info: 75: + IC(1.359 ns) + CELL(0.517 ns) = 46.269 ns; Loc. = LAB_X40_Y19; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|op_9~32'
1819
    Info: 76: + IC(0.000 ns) + CELL(0.458 ns) = 46.727 ns; Loc. = LAB_X40_Y19; Fanout = 13; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|op_9~33'
1820
    Info: 77: + IC(0.732 ns) + CELL(0.177 ns) = 47.636 ns; Loc. = LAB_X39_Y19; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|StageOut[145]~30'
1821
    Info: 78: + IC(0.498 ns) + CELL(0.495 ns) = 48.629 ns; Loc. = LAB_X39_Y19; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[2]~1'
1822
    Info: 79: + IC(0.000 ns) + CELL(0.080 ns) = 48.709 ns; Loc. = LAB_X39_Y19; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[3]~3'
1823
    Info: 80: + IC(0.000 ns) + CELL(0.080 ns) = 48.789 ns; Loc. = LAB_X39_Y19; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[4]~5'
1824
    Info: 81: + IC(0.000 ns) + CELL(0.080 ns) = 48.869 ns; Loc. = LAB_X39_Y19; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[5]~7'
1825
    Info: 82: + IC(0.000 ns) + CELL(0.080 ns) = 48.949 ns; Loc. = LAB_X39_Y19; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[6]~9'
1826
    Info: 83: + IC(0.000 ns) + CELL(0.080 ns) = 49.029 ns; Loc. = LAB_X39_Y19; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[7]~11'
1827
    Info: 84: + IC(0.000 ns) + CELL(0.458 ns) = 49.487 ns; Loc. = LAB_X39_Y19; Fanout = 1; COMB Node = 'ADPCM_Decoder_1_Bit:u6|lpm_divide:Div0|lpm_divide_aem:auto_generated|sign_div_unsign_klh:divider|alt_u_div_e2f:divider|add_sub_19_result_int[8]~12'
1828
    Info: 85: + IC(0.000 ns) + CELL(0.096 ns) = 49.583 ns; Loc. = LAB_X39_Y19; Fanout = 1; REG Node = 'ADPCM_Decoder_1_Bit:u6|PCM_Data_Difference[0]'
1829
    Info: Total cell delay = 24.059 ns ( 48.52 % )
1830
    Info: Total interconnect delay = 25.524 ns ( 51.48 % )
1831
Info: Fitter routing operations beginning
1832
Info: Average interconnect usage is 1% of the available device resources
1833
    Info: Peak interconnect usage is 5% of the available device resources in the region that extends from location X25_Y14 to location X37_Y27
1834
Info: Fitter routing operations ending: elapsed time is 00:00:03
1835
Info: The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
1836
    Info: Optimizations that may affect the design's routability were skipped
1837
    Info: Optimizations that may affect the design's timing were skipped
1838
Info: Started post-fitting delay annotation
1839
Warning: Found 78 output pins without output pin load capacitance assignment
1840
    Info: Pin "I2C_DATA_INOUT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1841
    Info: Pin "I2S_DATA_INOUT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1842
    Info: Pin "FLASH_MEMORY_DATA_INOUT[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1843
    Info: Pin "FLASH_MEMORY_DATA_INOUT[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1844
    Info: Pin "FLASH_MEMORY_DATA_INOUT[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1845
    Info: Pin "FLASH_MEMORY_DATA_INOUT[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1846
    Info: Pin "FLASH_MEMORY_DATA_INOUT[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1847
    Info: Pin "FLASH_MEMORY_DATA_INOUT[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1848
    Info: Pin "FLASH_MEMORY_DATA_INOUT[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1849
    Info: Pin "FLASH_MEMORY_DATA_INOUT[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1850
    Info: Pin "S_SEVEN_SEGMENT_1_OUT[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1851
    Info: Pin "S_SEVEN_SEGMENT_1_OUT[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1852
    Info: Pin "S_SEVEN_SEGMENT_1_OUT[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1853
    Info: Pin "S_SEVEN_SEGMENT_1_OUT[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1854
    Info: Pin "S_SEVEN_SEGMENT_1_OUT[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1855
    Info: Pin "S_SEVEN_SEGMENT_1_OUT[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1856
    Info: Pin "S_SEVEN_SEGMENT_1_OUT[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1857
    Info: Pin "S_SEVEN_SEGMENT_2_OUT[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1858
    Info: Pin "S_SEVEN_SEGMENT_2_OUT[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1859
    Info: Pin "S_SEVEN_SEGMENT_2_OUT[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1860
    Info: Pin "S_SEVEN_SEGMENT_2_OUT[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1861
    Info: Pin "S_SEVEN_SEGMENT_2_OUT[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1862
    Info: Pin "S_SEVEN_SEGMENT_2_OUT[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1863
    Info: Pin "S_SEVEN_SEGMENT_2_OUT[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1864
    Info: Pin "S_SEVEN_SEGMENT_3_OUT[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1865
    Info: Pin "S_SEVEN_SEGMENT_3_OUT[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1866
    Info: Pin "S_SEVEN_SEGMENT_3_OUT[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1867
    Info: Pin "S_SEVEN_SEGMENT_3_OUT[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1868
    Info: Pin "S_SEVEN_SEGMENT_3_OUT[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1869
    Info: Pin "S_SEVEN_SEGMENT_3_OUT[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1870
    Info: Pin "S_SEVEN_SEGMENT_3_OUT[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1871
    Info: Pin "S_SEVEN_SEGMENT_4_OUT[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1872
    Info: Pin "S_SEVEN_SEGMENT_4_OUT[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1873
    Info: Pin "S_SEVEN_SEGMENT_4_OUT[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1874
    Info: Pin "S_SEVEN_SEGMENT_4_OUT[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1875
    Info: Pin "S_SEVEN_SEGMENT_4_OUT[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1876
    Info: Pin "S_SEVEN_SEGMENT_4_OUT[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1877
    Info: Pin "S_SEVEN_SEGMENT_4_OUT[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1878
    Info: Pin "S_RED_LEDS_OUT[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1879
    Info: Pin "S_RED_LEDS_OUT[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1880
    Info: Pin "S_RED_LEDS_OUT[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1881
    Info: Pin "S_RED_LEDS_OUT[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1882
    Info: Pin "S_RED_LEDS_OUT[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1883
    Info: Pin "S_RED_LEDS_OUT[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1884
    Info: Pin "S_RED_LEDS_OUT[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1885
    Info: Pin "S_RED_LEDS_OUT[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1886
    Info: Pin "S_RED_LEDS_OUT[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1887
    Info: Pin "S_RED_LEDS_OUT[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1888
    Info: Pin "I2C_CLOCK_OUT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1889
    Info: Pin "I2S_LEFT_RIGHT_CLOCK_OUT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1890
    Info: Pin "I2S_CLOCK_OUT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1891
    Info: Pin "I2S_CORE_CLOCK_OUT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1892
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1893
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1894
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1895
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1896
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1897
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1898
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1899
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1900
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1901
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1902
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1903
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1904
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1905
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1906
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1907
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1908
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1909
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1910
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[18]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1911
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[19]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1912
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[20]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1913
    Info: Pin "FLASH_MEMORY_ADDRESS_OUT[21]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1914
    Info: Pin "FLASH_MEMORY_nWE_OUT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1915
    Info: Pin "FLASH_MEMORY_nOE_OUT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1916
    Info: Pin "FLASH_MEMORY_nRESET_OUT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1917
    Info: Pin "FLASH_MEMORY_nCE_OUT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
1918
Info: Delay annotation completed successfully
1919
Warning: Following 10 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results
1920
    Info: Pin I2C_DATA_INOUT has a permanently enabled output enable
1921
    Info: Pin I2S_DATA_INOUT has a permanently enabled output enable
1922
    Info: Pin FLASH_MEMORY_DATA_INOUT[0] has a permanently disabled output enable
1923
    Info: Pin FLASH_MEMORY_DATA_INOUT[1] has a permanently disabled output enable
1924
    Info: Pin FLASH_MEMORY_DATA_INOUT[2] has a permanently disabled output enable
1925
    Info: Pin FLASH_MEMORY_DATA_INOUT[3] has a permanently disabled output enable
1926
    Info: Pin FLASH_MEMORY_DATA_INOUT[4] has a permanently disabled output enable
1927
    Info: Pin FLASH_MEMORY_DATA_INOUT[5] has a permanently disabled output enable
1928
    Info: Pin FLASH_MEMORY_DATA_INOUT[6] has a permanently disabled output enable
1929
    Info: Pin FLASH_MEMORY_DATA_INOUT[7] has a permanently disabled output enable
1930
Warning: Following 38 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results
1931
    Info: Pin FLASH_MEMORY_DATA_INOUT[0] has VCC driving its datain port
1932
    Info: Pin FLASH_MEMORY_DATA_INOUT[1] has VCC driving its datain port
1933
    Info: Pin FLASH_MEMORY_DATA_INOUT[2] has VCC driving its datain port
1934
    Info: Pin FLASH_MEMORY_DATA_INOUT[3] has VCC driving its datain port
1935
    Info: Pin FLASH_MEMORY_DATA_INOUT[4] has VCC driving its datain port
1936
    Info: Pin FLASH_MEMORY_DATA_INOUT[5] has VCC driving its datain port
1937
    Info: Pin FLASH_MEMORY_DATA_INOUT[6] has VCC driving its datain port
1938
    Info: Pin FLASH_MEMORY_DATA_INOUT[7] has VCC driving its datain port
1939
    Info: Pin S_SEVEN_SEGMENT_1_OUT[0] has GND driving its datain port
1940
    Info: Pin S_SEVEN_SEGMENT_1_OUT[1] has GND driving its datain port
1941
    Info: Pin S_SEVEN_SEGMENT_1_OUT[2] has GND driving its datain port
1942
    Info: Pin S_SEVEN_SEGMENT_1_OUT[3] has GND driving its datain port
1943
    Info: Pin S_SEVEN_SEGMENT_1_OUT[4] has GND driving its datain port
1944
    Info: Pin S_SEVEN_SEGMENT_1_OUT[5] has GND driving its datain port
1945
    Info: Pin S_SEVEN_SEGMENT_1_OUT[6] has VCC driving its datain port
1946
    Info: Pin S_SEVEN_SEGMENT_2_OUT[0] has GND driving its datain port
1947
    Info: Pin S_SEVEN_SEGMENT_2_OUT[1] has GND driving its datain port
1948
    Info: Pin S_SEVEN_SEGMENT_2_OUT[2] has GND driving its datain port
1949
    Info: Pin S_SEVEN_SEGMENT_2_OUT[3] has GND driving its datain port
1950
    Info: Pin S_SEVEN_SEGMENT_2_OUT[4] has GND driving its datain port
1951
    Info: Pin S_SEVEN_SEGMENT_2_OUT[5] has GND driving its datain port
1952
    Info: Pin S_SEVEN_SEGMENT_2_OUT[6] has VCC driving its datain port
1953
    Info: Pin S_SEVEN_SEGMENT_3_OUT[0] has GND driving its datain port
1954
    Info: Pin S_SEVEN_SEGMENT_3_OUT[1] has GND driving its datain port
1955
    Info: Pin S_SEVEN_SEGMENT_3_OUT[2] has GND driving its datain port
1956
    Info: Pin S_SEVEN_SEGMENT_3_OUT[3] has GND driving its datain port
1957
    Info: Pin S_SEVEN_SEGMENT_3_OUT[4] has GND driving its datain port
1958
    Info: Pin S_SEVEN_SEGMENT_3_OUT[5] has GND driving its datain port
1959
    Info: Pin S_SEVEN_SEGMENT_3_OUT[6] has VCC driving its datain port
1960
    Info: Pin S_SEVEN_SEGMENT_4_OUT[0] has GND driving its datain port
1961
    Info: Pin S_SEVEN_SEGMENT_4_OUT[1] has GND driving its datain port
1962
    Info: Pin S_SEVEN_SEGMENT_4_OUT[2] has GND driving its datain port
1963
    Info: Pin S_SEVEN_SEGMENT_4_OUT[3] has GND driving its datain port
1964
    Info: Pin S_SEVEN_SEGMENT_4_OUT[4] has GND driving its datain port
1965
    Info: Pin S_SEVEN_SEGMENT_4_OUT[5] has GND driving its datain port
1966
    Info: Pin S_SEVEN_SEGMENT_4_OUT[6] has VCC driving its datain port
1967
    Info: Pin FLASH_MEMORY_nWE_OUT has VCC driving its datain port
1968
    Info: Pin FLASH_MEMORY_nRESET_OUT has VCC driving its datain port
1969
Info: Quartus II Fitter was successful. 0 errors, 4 warnings
1970
    Info: Peak virtual memory: 211 megabytes
1971
    Info: Processing ended: Tue May 11 23:49:54 2010
1972
    Info: Elapsed time: 00:00:17
1973
    Info: Total CPU time (on all processors): 00:00:17
1974
 
1975
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.