OpenCores
URL https://opencores.org/ocsvn/avalon-wishbone-bridge/avalon-wishbone-bridge/trunk

Subversion Repositories avalon-wishbone-bridge

[/] [avalon-wishbone-bridge/] [trunk/] [UVM/] [Makefile] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sumanta.ch
UVM_HOME=/comelec/softs/opt/mentor/modelsim/v10.5c/modeltech/verilog_src/uvm-1.1d
2
# need to set for ld error ->  LIBRARY_PATH=/usr/lib/x86_64-linux-gnu
3
QUESTA_UVM_PKG=/comelec/softs/opt/mentor/modelsim/v10.4c/modeltech/verilog_src/questa_uvm_pkg-1.1d/src/
4
com:
5
        vlog +incdir+${UVM_HOME}/src dut_transaction.sv
6
 
7
wo_dpi:
8
        vlog  +define+QUESTA +incdir+${UVM_HOME}/src +define+UVM_NO_DPI +define+QUESTA +acc dut.sv tb.sv
9
 
10
#when using uvm 1.2, quest_uvm pkg needs to be compiled separately
11
w_dpi:
12
        vlog  +define+QUESTA +incdir+${UVM_HOME}/src +acc avalon_m_if.sv dut.sv tb.sv
13
        mkdir -p lib
14
        g++ -m64 -fPIC -DQUESTA -g -W -shared -I/comelec/softs/opt/mentor/modelsim/v10.4c/modeltech/include/ ${UVM_HOME}/src/dpi/uvm_dpi.cc -o lib/uvm_dpi64.so
15
        #vlog +incdir+${UVM_HOME}/src +incdir+${QUESTA_UVM_PKG} ${QUESTA_UVM_PKG}/questa_uvm_pkg.sv
16
        #vsim -uvmcontrol=all   +uvm_set_config_int=*,recording_detail,400 +define+QUESTA -sv_seed random -solvefaildebug -novopt -sv_lib ./lib/uvm_dpi64  +UVM_TESTNAME=av_test tb
17
uvm11d:
18
        vlog  +define+QUESTA  +acc avalon_m_if.sv dut.sv tb.sv
19
sim:
20
        vsim -uvmcontrol=all   +uvm_set_config_int=*,recording_detail,400 +define+QUESTA -sv_seed random -solvefaildebug  +UVM_TESTNAME=av_test tb
21
qv:
22
        qverilog -uvmcontrol=all  +uvm_set_config_int=*,recording_detail,400 +define+QUESTA +UVM_TESTNAME=av_test avalon_m_if.sv dut.sv tb.sv
23
        #vsim -uvmcontrol=all  +uvm_set_config_int=*,recording_detail,400 +define+QUESTA +UVM_TESTNAME=av_test tb
24
 
25
 
26
build:
27
        vlog wb_slave_agent/wb_if.sv
28
        vlog +incdir+./wb_slave_agent  ./wb_slave_agent/wb_slave_agent_pkg.sv
29
        vlog ./av_master_agent/avalon_m_if.sv
30
        vlog +incdir+./av_master_agent  ./av_master_agent/av_test_pkg.sv
31
        vlog env_pkg.sv
32
        vlog +acc ../RTL/av2wb1.sv tb.sv
33
sim:
34
        vsim \
35
        -c +uvm_set_config_int=\*,recording_detail,400 -uvmcontrol=all +define+QUESTA -sv_seed random \
36
        -solvefaildebug  \
37
        +UVM_VERBOSITY=UVM_DEBUG \
38
        tb \
39
        -sv_seed 3138956347 \
40
        -do "run -all"
41
        #fail 3053001672 \
42
        #pass -sv_seed seed 3138956347
43
 
44
clean:
45
        rm -rf *.wlf transcript work *.xml

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.