OpenCores
URL https://opencores.org/ocsvn/avalon-wishbone-bridge/avalon-wishbone-bridge/trunk

Subversion Repositories avalon-wishbone-bridge

[/] [avalon-wishbone-bridge/] [trunk/] [UVM/] [av_master_agent/] [av_agent.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sumanta.ch
import uvm_pkg::*;
2
 
3
typedef uvm_sequencer#(av_mm_transaction#(32,64,2)) av_sequencer;
4
class av_agent #(AW =32, DW=64, TW= 2) extends uvm_agent;
5
 
6
av_config av_config_h;
7
av_sequencer av_sequencer_h;
8
av_driver#(AW,DW,TW) av_driver_h;
9
 
10
 
11
function new(string name, uvm_component parent);
12
        super.new(name,parent);
13
endfunction
14
 
15
 
16
function void build_phase(uvm_phase phase);
17
        super.build_phase(phase);
18
        av_config_h=new();
19
        av_sequencer_h=new("av_sequencer_h",this);
20
        av_driver_h=new("av_driver_h",this);
21
                                                // cntxt,inst_name,field_name,value
22
        if(!(uvm_config_db #(virtual avalon_if#(AW,DW,TW))::get(this,"","DUT_IF",av_config_h.mif)))
23
                 `uvm_fatal("VIF CONFIG", "cannot get av interface");
24
endfunction
25
 
26
function void connect_phase(uvm_phase phase);
27
        av_driver_h.seq_item_port.connect(av_sequencer_h.seq_item_export);
28
        av_driver_h.mif=av_config_h.mif;
29
endfunction
30
 
31
 
32
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.