OpenCores
URL https://opencores.org/ocsvn/avalon-wishbone-bridge/avalon-wishbone-bridge/trunk

Subversion Repositories avalon-wishbone-bridge

[/] [avalon-wishbone-bridge/] [trunk/] [UVM/] [av_master_agent/] [av_sequence.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sumanta.ch
import uvm_pkg::*;
2
 
3
class av_sequence #(N=100) extends uvm_sequence #(av_mm_transaction #(32,64,2));
4
 
5
 
6
//av_mm_transaction#(32,64,2) tx;
7
 
8
function new (string name="av_sequence");
9
        super.new(name);
10
endfunction
11
 
12
 
13
function void build_phase(uvm_phase phase);
14
endfunction
15
 
16
task body;
17
 
18
        av_mm_transaction#(32,64,2) tx=new();
19
        repeat(2*N) begin
20
                start_item(tx);
21
                assert (tx.randomize());
22
                finish_item(tx);
23
        end
24
        //end with a wait transaction
25
        start_item(tx);
26
        //assert (tx.randomize() with {tx.dir==AVALON_WAIT;});
27
        tx.dir=AVALON_WAIT;
28
        finish_item(tx);
29
endtask
30
 
31
 
32
 
33
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.