OpenCores
URL https://opencores.org/ocsvn/avalon-wishbone-bridge/avalon-wishbone-bridge/trunk

Subversion Repositories avalon-wishbone-bridge

[/] [avalon-wishbone-bridge/] [trunk/] [UVM/] [av_master_agent/] [av_test_pkg.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sumanta.ch
 
2
//`include "uvm_pkg.sv"
3
`include "uvm_macros.svh"
4
import uvm_pkg::*;
5
 
6
`ifndef DUT_TEST_PKG_SV
7
`define DUT_TEST_PKG_SV
8
 
9
package av_test_pkg ;
10
        typedef enum {
11
          AVALON_WAIT = 0,
12
          AVALON_RD  = 1,
13
          AVALON_WR = 2
14
        } t_direction;
15
        typedef int unsigned delay_t;
16
 
17
        `include "./av_mm_transaction.sv"
18
        `include "./av_sequence.sv"
19
        `include "./av_driver.sv"
20
        `include "./av_config.sv"
21
        `include "./av_agent.sv"
22
 
23
endpackage
24
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.