OpenCores
URL https://opencores.org/ocsvn/avalon-wishbone-bridge/avalon-wishbone-bridge/trunk

Subversion Repositories avalon-wishbone-bridge

[/] [avalon-wishbone-bridge/] [trunk/] [UVM/] [wb_slave_agent/] [wb_slave_agent_pkg.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sumanta.ch
//------------------------------------------------------------
2
//   Copyright 2010 Mentor Graphics Corporation
3
//   All Rights Reserved Worldwide
4
//
5
//   Licensed under the Apache License, Version 2.0 (the
6
//   "License"); you may not use this file except in
7
//   compliance with the License.  You may obtain a copy of
8
//   the License at
9
//
10
//       http://www.apache.org/licenses/LICENSE-2.0
11
//
12
//   Unless required by applicable law or agreed to in
13
//   writing, software distributed under the License is
14
//   distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
15
//   CONDITIONS OF ANY KIND, either express or implied.  See
16
//   the License for the specific language governing
17
//   permissions and limitations under the License.
18
//------------------------------------------------------------
19
package wb_slave_agent_pkg;
20
 
21
import uvm_pkg::*;
22
`include "uvm_macros.svh"
23
 
24
//import register_layering_pkg::*;
25
 
26
`include "wb_slave_seq_item.svh"
27
`include "wb_slave_agent_config.svh"
28
`include "wb_slave_driver.svh"
29
`include "wb_slave_monitor.svh"
30
`include "wb_slave_sequencer.svh"
31
`include "wb_listener.svh"
32
`include "wb_slave_agent.svh"
33
 
34
// Utility Sequences
35
`include "wb_slave_sequence.svh"
36
 
37
endpackage: wb_slave_agent_pkg

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.