OpenCores
URL https://opencores.org/ocsvn/avalon-wishbone-bridge/avalon-wishbone-bridge/trunk

Subversion Repositories avalon-wishbone-bridge

[/] [avalon-wishbone-bridge/] [trunk/] [UVM/] [wb_slave_agent/] [wb_slave_sequencer.svh] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sumanta.ch
//------------------------------------------------------------
2
//   Copyright 2010 Mentor Graphics Corporation
3
//   All Rights Reserved Worldwide
4
//
5
//   Licensed under the Apache License, Version 2.0 (the
6
//   "License"); you may not use this file except in
7
//   compliance with the License.  You may obtain a copy of
8
//   the License at
9
//
10
//       http://www.apache.org/licenses/LICENSE-2.0
11
//
12
//   Unless required by applicable law or agreed to in
13
//   writing, software distributed under the License is
14
//   distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
15
//   CONDITIONS OF ANY KIND, either express or implied.  See
16
//   the License for the specific language governing
17
//   permissions and limitations under the License.
18
//------------------------------------------------------------
19
`ifndef wb_slave_sequencer
20
`define wb_slave_sequencer
21
 
22
//
23
// Class Description:
24
//
25
//
26
class wb_slave_sequencer extends uvm_sequencer #(wb_slave_seq_item#(32,64,2), wb_slave_seq_item#(32,64,2));
27
//I don't need two seq items req and rsp, only rsp is enough
28
//class wb_slave_sequencer extends uvm_sequencer #(wb_slave_seq_item#(32,64,2));
29
 
30
// UVM Factory Registration Macro
31
//
32
`uvm_component_utils(wb_slave_sequencer)
33
 
34
// Standard UVM Methods:
35
extern function new(string name="wb_slave_sequencer", uvm_component parent = null);
36
 
37
endclass: wb_slave_sequencer
38
 
39
function wb_slave_sequencer::new(string name="wb_slave_sequencer", uvm_component parent = null);
40
  super.new(name, parent);
41
endfunction
42
 
43
`endif // wb_slave_sequencer

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.