OpenCores
URL https://opencores.org/ocsvn/avs_aes/avs_aes/trunk

Subversion Repositories avs_aes

[/] [avs_aes/] [trunk/] [rtl/] [VHDL/] [memory_word.vhd] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ruschi
--------------------------------------------------------------------------------
2 10 ruschi
-- This file is part of the project      avs_aes
3
-- see: http://opencores.org/project,avs_aes
4 2 ruschi
--
5
-- description: Register - nothing special
6
--
7
-------------------------------------------------------------------------------
8
--
9
-- Author(s):
10
--         Thomas Ruschival -- ruschi@opencores.org (www.ruschival.de)
11
--
12
--------------------------------------------------------------------------------
13
-- Copyright (c) 2009, Authors and opencores.org
14
-- All rights reserved.
15
--
16
-- Redistribution and use in source and binary forms, with or without modification,
17
-- are permitted provided that the following conditions are met:
18
--        * Redistributions of source code must retain the above copyright notice,
19
--        this list of conditions and the following disclaimer.
20
--        * Redistributions in binary form must reproduce the above copyright notice,
21
--        this list of conditions and the following disclaimer in the documentation
22
--        and/or other materials provided with the distribution.
23
--        * Neither the name of the organization nor the names of its contributors
24
--        may be used to endorse or promote products derived from this software without
25
--        specific prior written permission.
26
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
27
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
28
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
29
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE
30
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY,
31
-- OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
32
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
33
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
34
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
35
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
36
-- THE POSSIBILITY OF SUCH DAMAGE
37
-------------------------------------------------------------------------------
38
-- version management:
39 20 ruschi
-- $Author::                                         $
40
-- $Date::                                           $
41
-- $Revision::                                       $
42 2 ruschi
-------------------------------------------------------------------------------
43
 
44
library ieee;
45
use ieee.std_logic_1164.all;
46
 
47
entity memory_word is
48
        generic (
49
                IOwidth : POSITIVE := 1);               -- width in bits
50
        port (
51
                data_in  : in  STD_LOGIC_VECTOR(IOwidth-1 downto 0);
52
                data_out : out STD_LOGIC_VECTOR(IOwidth-1 downto 0);
53
                res_n    : in  STD_LOGIC;               -- system reset active low
54
                ena              : in  STD_LOGIC;               -- enable write
55
                clk              : in  STD_LOGIC);              -- system clock
56
end entity memory_word;
57
 
58
architecture arch1 of memory_word is
59
        signal data : STD_LOGIC_VECTOR(IOwidth-1 downto 0);       -- storage
60
begin  -- architecture arch1
61
 
62
        -- purpose: write data to register at clock edge if enabled
63
        -- type   : sequential
64
        -- inputs : clk, res_n, data_in,ena
65
        write_mem : process (clk, res_n) is
66
        begin  -- process write_mem
67
                if res_n = '0' then
68
                        data <= (others => '0');
69
                elsif rising_edge(clk) then
70
                        if ena = '1' then
71
                                data <= data_in;
72
                        end if;
73
                end if;
74
        end process write_mem;
75
 
76
        -- data can always be read
77
        data_out <= data;
78
 
79
 
80
end architecture arch1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.