OpenCores
URL https://opencores.org/ocsvn/avs_aes/avs_aes/trunk

Subversion Repositories avs_aes

[/] [avs_aes/] [trunk/] [rtl/] [VHDL/] [mixcol_fwd.vhd] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ruschi
--------------------------------------------------------------------------------
2 10 ruschi
-- This file is part of the project  avs_aes
3
-- see: http://opencores.org/project,avs_aes
4 2 ruschi
--
5
-- description:
6
-- Mix the columns of the AES Block (encryption version)
7
-- A column is always a word of 32 Bit, nomatter what the blocklength is. 
8
--
9
-- For encryption the input vector is multiplied by this matrix
10
--
11
--              | 2 3 1 1 |       a(n,0)
12
--              | 1 2 3 1 | x a(n,1)  
13
--              | 1 1 2 3 |       a(n,2)
14
--              | 3 1 1 2 |       a(n,3)
15
--
16
-- where the multiplication is defined over the GF(2^8) Galois field.
17
-- in this finite field addition is an XOR, multiplication by 2 is a simple
18
-- shift left like in  "normal" math. So the multiplication by 3 is a shiftleft
19
-- and XOR operation.
20
--                        2*a = a shl 2
21
--                        3*a = (a shl 2) XOR a 
22
-- If bit leftmost (MSB) bit is '1' the result is too big to fit in a Byte and
23
-- has to be XORed with the magic number "100_0110_1100", "10_0011_0110",
24
-- "1_0001_1011" sucessively until it fits... don't ask me where it
25
-- comes from - I have no clue and skipped the gory math details of the algorithm.
26
-- the most is taken from:      http://en.wikipedia.org/wiki/Rijndael_Galois_field
27
-- Or you ask the mathematician of at your disposal...
28
-------------------------------------------------------------------------------
29
--
30
-- Author(s):
31
--         Thomas Ruschival -- ruschi@opencores.org (www.ruschival.de)
32
--
33
--------------------------------------------------------------------------------
34
-- Copyright (c) 2009, Authors and opencores.org
35
-- All rights reserved.
36
--
37
-- Redistribution and use in source and binary forms, with or without modification,
38
-- are permitted provided that the following conditions are met:
39
--    * Redistributions of source code must retain the above copyright notice,
40
--    this list of conditions and the following disclaimer.
41
--    * Redistributions in binary form must reproduce the above copyright notice,
42
--    this list of conditions and the following disclaimer in the documentation
43
--    and/or other materials provided with the distribution.
44
--    * Neither the name of the organization nor the names of its contributors
45
--    may be used to endorse or promote products derived from this software without
46
--    specific prior written permission.
47
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
48
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
49
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
50
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE
51
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY,
52
-- OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
53
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
54
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
55
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
56
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
57
-- THE POSSIBILITY OF SUCH DAMAGE
58
-------------------------------------------------------------------------------
59
-- version management:
60 20 ruschi
-- $Author::                                         $
61
-- $Date::                                           $
62
-- $Revision::                                       $
63 2 ruschi
-------------------------------------------------------------------------------
64
 
65
 
66
 
67
 
68
library IEEE;
69
use IEEE.std_logic_1164.all;
70
use ieee.numeric_std.all;
71
 
72 11 ruschi
library avs_aes_lib;
73
use avs_aes_lib.avs_aes_pkg.all;
74 2 ruschi
 
75
 
76
architecture fwd of mixcol
77
is
78
        signal byte0 : BYTE;
79
        signal byte1 : BYTE;
80
        signal byte2 : BYTE;
81
        signal byte3 : BYTE;
82
begin  -- architecture ARCH1
83
 
84
        -- Easier handling of the single cells of the column
85
        byte0 <= col_in(31 downto 24);
86
        byte1 <= col_in(23 downto 16);
87
        byte2 <= col_in(15 downto 8);
88
        byte3 <= col_in(7 downto 0);
89
 
90
        -- purpose: multiplies the column of the input block with the matrix
91
        -- type   : combinational
92
        -- inputs : direction,byte0,byte1,byte2,byte3
93
        -- outputs: col_out
94
        matrix_mult : process (byte0, byte1, byte2, byte3) is
95
                -- temporary results for the row-col multiplication have to be 9 Bits
96
                -- long because the input is shifted left
97
                variable tmp_res0 : STD_LOGIC_VECTOR(10 downto 0);       -- result of row1*col
98
                variable tmp_res1 : STD_LOGIC_VECTOR(10 downto 0);       -- result of row2*col
99
                variable tmp_res2 : STD_LOGIC_VECTOR(10 downto 0);       -- result of row3*col
100
                variable tmp_res3 : STD_LOGIC_VECTOR(10 downto 0);       -- result of row4*col
101
        begin  -- process matrix_mult
102
                -- Multiply by 1st row of the encrypt matrix (2 3 1 1)
103
                tmp_res0 := "00" & (byte0 & '0' xor       -- byte0*2 +
104
                                                        byte1 & '0' xor '0'& byte1 xor    -- byte1*2 + byte1 +
105
                                                        '0' & byte2 xor   -- byte2*1 (expanded to 9 bit)
106
                                                        '0' & byte3);     -- byte3*1 (expanded to 9 bit)
107
                -- check if the 9th byte=1 and XOR with magic number to make it 8 BIT
108
                if tmp_res0(8) = '1' then
109
                        tmp_res0 := tmp_res0 xor "00100011011";
110
                end if;
111
 
112
                -- Multiply by 2nd row of the encrypt matrix (1 2 3 1)
113
                tmp_res1 := "00" & ('0' & byte0 xor       -- byte0*1 (expanded to 9 bit)
114
                                                        byte1 & '0' xor   -- byte1*2
115
                                                        byte2 & '0' xor '0' & byte2 xor    -- byte2*2 + byte2
116
                                                        '0' & byte3);     -- byte3*1 (expanded to 9 bit)
117
                -- check if the 9th byte=1 and XOR with magic number to make it 8 BIT
118
                if tmp_res1(8) = '1' then
119
                        tmp_res1 := tmp_res1 xor "00100011011";
120
                end if;
121
 
122
                -- Multiply by 3rd row of the encrypt matrix  (1 1 2 3)
123
                tmp_res2 := "00" & ('0' & byte0 xor       -- byte0*1 (expanded to 9 bit)
124
                                                        '0' & byte1 xor   -- byte1*1 (expanded to 9 bit)
125
                                                        byte2 & '0' xor   -- byte2*3
126
                                                        byte3 & '0' xor '0' & byte3);  -- byte3*3
127
                -- check if the 9th byte=1 and XOR with magic number to make it 8 BIT
128
                if tmp_res2(8) = '1' then
129
                        tmp_res2 := tmp_res2 xor "00100011011";
130
                end if;
131
 
132
                -- Multiply by 4th row of the encrypt matrix  (3 1 1 2)
133
                tmp_res3 := "00" & (byte0 & '0' xor '0' & byte0 xor        -- byte0*3
134
                                                        '0' & byte1 xor   -- byte1*1 (expanded to 9 bit)
135
                                                        '0' & byte2 xor   -- byte2*1 (expanded to 9 bit)
136
                                                        byte3 & '0');     -- byte3*2
137
                -- check if the 9th byte=1 and XOR with magic number to make it 8 BIT
138
                if tmp_res3(8) = '1' then
139
                        tmp_res3 := tmp_res3 xor "00100011011";
140
                end if;
141
 
142
 
143
                -- build output signal (BYTE_RANGE =7 downto 0 see util_pkg.vhd)
144
                col_out(31 downto 24) <= tmp_res0(BYTE_RANGE);
145
                col_out(23 downto 16) <= tmp_res1(BYTE_RANGE);
146
                col_out(15 downto 8)  <= tmp_res2(BYTE_RANGE);
147
                col_out(7 downto 0)        <= tmp_res3(BYTE_RANGE);
148
        end process matrix_mult;
149
 
150
end architecture fwd;
151
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.