OpenCores
URL https://opencores.org/ocsvn/avs_aes/avs_aes/trunk

Subversion Repositories avs_aes

[/] [avs_aes/] [trunk/] [syn/] [Altera_Quartus9.1/] [Makefile] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 ruschi
PROJECT=avs_aes
2
 
3
all: smart.log $(PROJECT).asm.rpt $(PROJECT).tan.rpt
4
 
5
clean:
6 15 ruschi
        rm -rf *.rpt *.chg smart.log *msg *.htm *.eqn *.pin *.sof *.pof *.summary incremental_db
7 11 ruschi
clean_all: clean
8
        rm -rf *.ssf *.csf *.esf *.fsf *.psf *.quartus *.qws *.summary
9
 
10
$(PROJECT).map.rpt: map.chg $(SOURCE_FILES)
11
        quartus_map $(MAP_ARGS) $(PROJECT)
12
        $(STAMP) fit.chg
13
$(PROJECT).fit.rpt: fit.chg $(PROJECT).map.rpt
14
        quartus_fit $(FIT_ARGS) $(PROJECT)
15
        $(STAMP) asm.chg
16
        $(STAMP) tan.chg
17
$(PROJECT).asm.rpt: asm.chg $(PROJECT).fit.rpt
18
        quartus_asm $(ASM_ARGS) $(PROJECT)
19
$(PROJECT).tan.rpt: tan.chg $(PROJECT).fit.rpt
20
        quartus_tan $(TAN_ARGS) $(PROJECT)
21
smart.log: $(ASSIGNMENT_FILES)
22
        quartus_sh --determine_smart_action $(PROJECT) > smart.log
23
 
24
 
25
################################################################
26
# Target implementations
27
################################################################
28
STAMP = echo done >
29
 
30
 
31
################################################################
32
# Project initialization
33
################################################################
34
 
35
map.chg:
36
        $(STAMP) map.chg
37
fit.chg:
38
        $(STAMP)        fit.chg
39
tan.chg:
40
        $(STAMP)        tan.chg
41
asm.chg:
42
        $(STAMP)        asm.chg
43
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.