OpenCores
URL https://opencores.org/ocsvn/axi_master/axi_master/trunk

Subversion Repositories axi_master

[/] [axi_master/] [trunk/] [src/] [base/] [axi_master.v] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eyalhoc
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  Author: Eyal Hochberg                                      ////
4
////          eyal@provartec.com                                 ////
5
////                                                             ////
6
////  Downloaded from: http://www.opencores.org                  ////
7
/////////////////////////////////////////////////////////////////////
8
////                                                             ////
9
//// Copyright (C) 2010 Provartec LTD                            ////
10
//// www.provartec.com                                           ////
11
//// info@provartec.com                                          ////
12
////                                                             ////
13
//// This source file may be used and distributed without        ////
14
//// restriction provided that this copyright statement is not   ////
15
//// removed from the file and that any derivative work contains ////
16
//// the original copyright notice and the associated disclaimer.////
17
////                                                             ////
18
//// This source file is free software; you can redistribute it  ////
19
//// and/or modify it under the terms of the GNU Lesser General  ////
20
//// Public License as published by the Free Software Foundation.////
21
////                                                             ////
22
//// This source is distributed in the hope that it will be      ////
23
//// useful, but WITHOUT ANY WARRANTY; without even the implied  ////
24
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR     ////
25
//// PURPOSE.  See the GNU Lesser General Public License for more////
26
//// details. http://www.gnu.org/licenses/lgpl.html              ////
27
////                                                             ////
28
/////////////////////////////////////////////////////////////////////
29 6 eyalhoc
 
30
//////////////////////////////////////
31
//
32
// General:
33
//   The AXI master has an internal master per ID. 
34
//   These internal masters work simultaniously and an interconnect matrix connets them. 
35
// 
36
//
37
// I/F :
38
//   idle - all internal masters emptied their command FIFOs
39
//   scrbrd_empty - all scoreboard checks have been completed (for random testing)
40
//
41
//
42
// Tasks:
43
//
44
// enable(input master_num)
45
//   Description: Enables master
46
//   Parameters: master_num - number of internal master
47
//
48
// enable_all()  
49
//   Description: Enables all masters
50
//
51
// write_single(input master_num, input addr, input wdata)
52
//   Description: write a single AXI burst (1 data cycle)
53
//   Parameters: master_num - number of internal master
54
//           addr  - address
55
//           wdata - write data
56
// 
57
// read_single(input master_num, input addr, output rdata)
58
//   Description: read a single AXI burst (1 data cycle)
59
//   Parameters: master_num - number of internal master
60
//               addr  - address
61
//               rdata - return read data
62
//
63
// check_single(input master_num, input addr, input expected)
64
//   Description: read a single AXI burst and gives an error if the data read does not match expected
65
//   Parameters: master_num - number of internal master
66
//               addr  - address
67
//               expected - expected read data
68
//
69
// write_and_check_single(input master_num, input addr, input data)
70
//   Description: write a single AXI burst read it back and compare the write and read data
71
//   Parameters: master_num - number of internal master
72
//               addr  - address
73
//               data - data to write and expect on read
74
//
75
// insert_wr_cmd(input master_num, input addr, input len, input size)
76
//   Description: add an AXI write burst to command FIFO
77
//   Parameters: master_num - number of internal master
78
//               addr - address
79
//               len - AXI LEN (data strobe number)
80
//               size - AXI SIZE (data width)
81
//  
82
// insert_rd_cmd(input master_num, input addr, input len, input size)
83
//   Description: add an AXI read burst to command FIFO
84
//   Parameters: master_num - number of internal master
85
//               addr - address
86
//               len - AXI LEN (data strobe number)
87
//               size - AXI SIZE (data width)
88
//  
89
// insert_wr_data(input master_num, input wdata)
90
//   Description: add a single data to data FIFO (to be used in write bursts)
91
//   Parameters: master_num - number of internal master
92
//               wdata - write data
93
//  
94
// insert_wr_incr_data(input master_num, input addr, input len, input size)
95
//   Description: add an AXI write burst to command FIFO will use incremental data (no need to use insert_wr_data)
96
//   Parameters: master_num - number of internal master
97
//               addr - address
98
//               len - AXI LEN (data strobe number)
99
//               size - AXI SIZE (data width)
100
//  
101
// insert_rand_chk(input master_num, input burst_num)
102
//   Description: add multiple commands to command FIFO. Each command writes incremental data to a random address, reads the data back and checks the data. Useful for random testing.
103
//   Parameters: master_num - number of internal master
104
//               burst_num - total number of bursts to check
105
//  
106 9 eyalhoc
// insert_rand(input burst_num)
107
//   Description: disperces burst_num between internal masters and calls insert_rand_chk for each master
108
//   Parameters:  burst_num - total number of bursts to check (combined)
109
//
110 6 eyalhoc
//  
111
//  Parameters:
112
//  
113
//    For random testing: (changing these values automatically update interanl masters)
114 10 eyalhoc
//      ahb_bursts - if set, bursts will only be of length 1, 4, 8 or 16.
115 6 eyalhoc
//      len_min  - minimum burst AXI LEN (length)
116
//      len_max  - maximum burst AXI LEN (length)
117
//      size_min - minimum burst AXI SIZE (width)
118
//      size_max - maximum burst AXI SIZE (width)
119
//      addr_min - minimum address (in bytes)
120
//      addr_max - maximum address (in bytes)
121
//  
122
//////////////////////////////////////
123
 
124
OUTFILE PREFIX.v
125
 
126
INCLUDE def_axi_master.txt
127
 
128
 
129
ITER IX ID_NUM
130
module PREFIX(PORTS);
131
 
132 9 eyalhoc
`include "prgen_rand.v"
133
 
134 6 eyalhoc
   input                               clk;
135
   input                               reset;
136
 
137
   port                                GROUP_STUB_AXI;
138
 
139
   output                              idle;
140
   output                              scrbrd_empty;
141
 
142
 
143
   //random parameters
144
   integer                             GROUP_AXI_MASTER_RAND = GROUP_AXI_MASTER_RAND.DEFAULT;
145
 
146
   wire                                GROUP_STUB_AXI_IX;
147
   wire                                idle_IX;
148
   wire                                scrbrd_empty_IX;
149
 
150
 
151
   always @(*)
152
     begin
153
        #FFD;
154
        PREFIX_singleIX.GROUP_AXI_MASTER_RAND = GROUP_AXI_MASTER_RAND;
155
     end
156
 
157
   assign                              idle = CONCAT(idle_IX &);
158
   assign                              scrbrd_empty = CONCAT(scrbrd_empty_IX &);
159
 
160
 
161
   CREATE axi_master_single.v
162
 
163
     LOOP IX ID_NUM
164
   PREFIX_single #(IX, IDIX_VAL, CMD_DEPTH)
165
   PREFIX_singleIX(
166
                   .clk(clk),
167
                   .reset(reset),
168
                   .GROUP_STUB_AXI(GROUP_STUB_AXI_IX),
169
                   .idle(idle_IX),
170
                   .scrbrd_empty(scrbrd_empty_IX)
171
                   );
172 9 eyalhoc
 
173 6 eyalhoc
   ENDLOOP IX
174
 
175
     IFDEF TRUE(ID_NUM==1)
176
 
177
   assign GROUP_STUB_AXI.OUT = GROUP_STUB_AXI_0.OUT;
178
   assign GROUP_STUB_AXI_0.IN = GROUP_STUB_AXI.IN;
179
 
180
     ELSE TRUE(ID_NUM==1)
181
 
182 9 eyalhoc
   CREATE ic.v \\
183
DEFCMD(SWAP.GLOBAL PARENT PREFIX) \\
184
DEFCMD(SWAP.GLOBAL MASTER_NUM ID_NUM) \\
185
DEFCMD(SWAP.GLOBAL SLAVE_NUM 1) \\
186
DEFCMD(SWAP.GLOBAL CONST(ID_BITS) ID_BITS) \\
187
DEFCMD(SWAP.GLOBAL CONST(CMD_DEPTH) CMD_DEPTH) \\
188
DEFCMD(SWAP.GLOBAL CONST(DATA_BITS) DATA_BITS) \\
189
DEFCMD(SWAP.GLOBAL CONST(ADDR_BITS) ADDR_BITS)
190 6 eyalhoc
   LOOP IX ID_NUM
191
     STOMP NEWLINE
192 9 eyalhoc
     DEFCMD(LOOP.GLOBAL MIX_IDX 1) \\
193 13 eyalhoc
     DEFCMD(SWAP.GLOBAL ID_MIX_ID0 ID_BITSIDIX_VAL)
194 6 eyalhoc
   ENDLOOP IX
195
 
196
    PREFIX_ic PREFIX_ic(
197
                       .clk(clk),
198
                       .reset(reset),
199
                       .MIX_GROUP_STUB_AXI(GROUP_STUB_AXI_IX),
200
                       .S0_GROUP_STUB_AXI(GROUP_STUB_AXI),
201
                       STOMP ,
202
 
203
      );
204
 
205
     ENDIF TRUE(ID_NUM==1)
206
 
207
 
208
 
209
   task check_master_num;
210
      input [24*8-1:0] task_name;
211
      input [31:0] master_num;
212
      begin
213
         if (master_num >= ID_NUM)
214
           begin
215
              $display("FATAL ERROR: task %0s called for master %0d that does not exist.\tTime: %0d ns.", task_name, master_num, $time);
216
           end
217
      end
218
   endtask
219
 
220
   task enable;
221
      input [31:0] master_num;
222
      begin
223
         check_master_num("enable", master_num);
224
         case (master_num)
225
           IX : PREFIX_singleIX.enable = 1;
226
         endcase
227
      end
228
   endtask
229
 
230
   task enable_all;
231
      begin
232
         PREFIX_singleIX.enable = 1;
233
      end
234
   endtask
235
 
236
   task write_single;
237
      input [31:0] master_num;
238
      input [ADDR_BITS-1:0]  addr;
239
      input [DATA_BITS-1:0]  wdata;
240
      begin
241
         check_master_num("write_single", master_num);
242
         case (master_num)
243
           IX : PREFIX_singleIX.write_single(addr, wdata);
244
         endcase
245
      end
246
   endtask
247
 
248
   task read_single;
249
      input [31:0] master_num;
250
      input [ADDR_BITS-1:0]  addr;
251
      output [DATA_BITS-1:0]  rdata;
252
      begin
253
         check_master_num("read_single", master_num);
254
         case (master_num)
255
           IX : PREFIX_singleIX.read_single(addr, rdata);
256
         endcase
257
      end
258
   endtask
259
 
260
   task check_single;
261
      input [31:0] master_num;
262
      input [ADDR_BITS-1:0]  addr;
263
      input [DATA_BITS-1:0]  expected;
264
      begin
265
         check_master_num("check_single", master_num);
266
         case (master_num)
267
           IX : PREFIX_singleIX.check_single(addr, expected);
268
         endcase
269
      end
270
   endtask
271
 
272
   task write_and_check_single;
273
      input [31:0] master_num;
274
      input [ADDR_BITS-1:0]  addr;
275
      input [DATA_BITS-1:0]  data;
276
      begin
277
         check_master_num("write_and_check_single", master_num);
278
         case (master_num)
279
           IX : PREFIX_singleIX.write_and_check_single(addr, data);
280
         endcase
281
      end
282
   endtask
283
 
284
   task insert_wr_cmd;
285
      input [31:0] master_num;
286
      input [ADDR_BITS-1:0]  addr;
287
      input [LEN_BITS-1:0]   len;
288
      input [SIZE_BITS-1:0]  size;
289
      begin
290
         check_master_num("insert_wr_cmd", master_num);
291
         case (master_num)
292
           IX : PREFIX_singleIX.insert_wr_cmd(addr, len, size);
293
         endcase
294
      end
295
   endtask
296
 
297
   task insert_rd_cmd;
298
      input [31:0] master_num;
299
      input [ADDR_BITS-1:0]  addr;
300
      input [LEN_BITS-1:0]   len;
301
      input [SIZE_BITS-1:0]  size;
302
      begin
303
         check_master_num("insert_rd_cmd", master_num);
304
         case (master_num)
305
           IX : PREFIX_singleIX.insert_rd_cmd(addr, len, size);
306
         endcase
307
      end
308
   endtask
309
 
310
   task insert_wr_data;
311
      input [31:0] master_num;
312
      input [DATA_BITS-1:0]  wdata;
313
      begin
314
         check_master_num("insert_wr_data", master_num);
315
         case (master_num)
316
           IX : PREFIX_singleIX.insert_wr_data(wdata);
317
         endcase
318
      end
319
   endtask
320
 
321
   task insert_wr_incr_data;
322
      input [31:0] master_num;
323
      input [ADDR_BITS-1:0]  addr;
324
      input [LEN_BITS-1:0]   len;
325
      input [SIZE_BITS-1:0]  size;
326
      begin
327
         check_master_num("insert_wr_incr_data", master_num);
328
         case (master_num)
329
           IX : PREFIX_singleIX.insert_wr_incr_data(addr, len, size);
330
         endcase
331
      end
332
   endtask
333
 
334
   task insert_rand_chk;
335
      input [31:0] master_num;
336
      input [31:0] burst_num;
337
      begin
338
         check_master_num("insert_rand_chk", master_num);
339
         case (master_num)
340
           IX : PREFIX_singleIX.insert_rand_chk(burst_num);
341
         endcase
342
      end
343
   endtask
344
 
345 9 eyalhoc
   task insert_rand;
346
      input [31:0] burst_num;
347
 
348
      ITER IDX ID_NUM
349
      reg [31:0] burst_numIDX;
350
      integer remain;
351
      begin
352
         remain = burst_num;
353
         LOOP IDX ID_NUM
354
         if (remain > 0)
355
           begin
356
              burst_numIDX = rand(1, remain);
357
              remain = remain - burst_numIDX;
358
              insert_rand_chk(IDX, burst_numIDX);
359
           end
360
         ENDLOOP IDX
361
      end
362
   endtask
363 6 eyalhoc
 
364
 
365
endmodule
366
 
367
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.