OpenCores
URL https://opencores.org/ocsvn/canny_edge_detector/canny_edge_detector/trunk

Subversion Repositories canny_edge_detector

[/] [canny_edge_detector/] [trunk/] [vhdl_src/] [top.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 angelobacc
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.NUMERIC_STD.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
 
6
 
7
entity top is
8
  port(
9
    clk       : in std_logic;
10
         rstn      : in std_logic;
11
         data_in   : in std_logic_vector(7 downto 0);
12
         fsync_in  : in std_logic;
13
         fsync_out : out std_logic;
14
    data_out  : out std_logic_vector(7 downto 0)
15
);
16
end entity top;
17
 
18
architecture structure of top is
19
 
20
  signal data_in_r   : std_logic_vector(7 downto 0);
21
  signal fsync_in_r  : std_logic;
22
 
23
begin
24
 
25
-- registering inputs  
26
  reg : process(clk)
27
  begin
28
        if rising_edge(clk) then
29
     data_in_r  <= data_in;
30
          fsync_in_r <= fsync_in;
31
        end if;
32
  end process reg;
33
 
34
  edge : entity work.edge_sobel_wrapper
35
    port map (
36
          clk       => clk,
37
          rstn      => rstn,
38
          pdata_in  => data_in_r,
39
          fsync_in  => fsync_in_r,
40
          fsync_out => fsync_out,
41
          pdata_out => data_out
42
  );
43
 
44
end architecture structure;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.