OpenCores
URL https://opencores.org/ocsvn/canny_edge_detector/canny_edge_detector/trunk

Subversion Repositories canny_edge_detector

[/] [canny_edge_detector/] [trunk/] [vhdl_testbench/] [readfile.m] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 angelobacc
 
2
currentFile = sprintf('outputdata');
3
FID = fopen(currentFile);
4
 
5
    c=fread(FID);
6
fclose(FID);
7
 
8
c=reshape(c,4,length(c)/4);
9
c=c(1,:);
10
c=c';
11
c=reshape(c,640,480);
12
c=c';
13
 
14
currentFile = sprintf('inputdata');
15
FID = fopen(currentFile);
16
 
17
    d=fread(FID);
18
fclose(FID);
19
 
20
d=reshape(d,4,length(d)/4);
21
d=d(1,:);
22
d=d';
23
d=reshape(d,640,480);
24
d=d';
25
 
26
imshow(uint8(c))
27
figure
28
imshow(uint8(d))
29
 
30
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.