OpenCores
URL https://opencores.org/ocsvn/ccsds_rxtxsoc/ccsds_rxtxsoc/trunk

Subversion Repositories ccsds_rxtxsoc

[/] [ccsds_rxtxsoc/] [trunk/] [ccsds_rx_datalink_layer.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zguig52
-------------------------------
2
---- Project: EurySPACE CCSDS RX/TX with wishbone interface
3
---- Design Name: ccsds_rx_datalink_layer
4
---- Version: 1.0.0
5
---- Description:
6
---- TO BE DONE
7
-------------------------------
8
---- Author(s):
9
---- Guillaume REMBERT
10
-------------------------------
11
---- Licence:
12
---- MIT
13
-------------------------------
14
---- Changes list:
15
---- 2015/11/17: initial release
16
-------------------------------
17
 
18
-- libraries used
19
library ieee;
20
use ieee.std_logic_1164.all;
21
 
22
-- unitary rx datalink layer
23
entity ccsds_rx_datalink_layer is
24
  generic (
25
    CCSDS_RX_DATALINK_DATA_BUS_SIZE: integer := 32
26
  );
27
  port(
28
    -- inputs
29
    clk_i: in std_logic;
30
    dat_i: in std_logic_vector(CCSDS_RX_DATALINK_DATA_BUS_SIZE-1 downto 0);
31
    rst_i: in std_logic;
32
    -- outputs
33
    buf_bit_ful_o: out std_logic;
34
    buf_dat_ful_o: out std_logic;
35
    buf_fra_ful_o: out std_logic;
36
    dat_o: out std_logic_vector(CCSDS_RX_DATALINK_DATA_BUS_SIZE-1 downto 0)
37
  );
38
end ccsds_rx_datalink_layer;
39
 
40
-- internal processing
41
architecture rtl of ccsds_rx_datalink_layer is
42
-- TEMPORARY NO CHANGE / DUMMY LINKLAYER
43
 
44
  begin
45
    dat_o <= dat_i;
46
    buf_dat_ful_o <= '0';
47
    buf_fra_ful_o <= '0';
48
    buf_bit_ful_o <= '0';
49
 
50
    DATALINKP : process (clk_i, dat_i)
51
    begin
52
    end process;
53
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.