OpenCores
URL https://opencores.org/ocsvn/ccsds_rxtxsoc/ccsds_rxtxsoc/trunk

Subversion Repositories ccsds_rxtxsoc

[/] [ccsds_rxtxsoc/] [trunk/] [ccsds_rx_physical_layer.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zguig52
-------------------------------
2
---- Project: EurySPACE CCSDS RX/TX with wishbone interface
3
---- Design Name: ccsds_rx_physical_layer
4
---- Version: 1.0.0
5
---- Description:
6
---- TO BE DONE
7
-------------------------------
8
---- Author(s):
9
---- Guillaume REMBERT
10
-------------------------------
11
---- Licence:
12
---- MIT
13
-------------------------------
14
---- Changes list:
15
---- 2015/11/17: initial release
16
-------------------------------
17
 
18
-- libraries used
19
library ieee;
20
use ieee.std_logic_1164.all;
21
 
22
--=============================================================================
23
-- Entity declaration for ccsds_rx_physical_layer / unitary rx physical layer
24
--=============================================================================
25
entity ccsds_rx_physical_layer is
26
  generic (
27
    CCSDS_RX_PHYSICAL_DATA_BUS_SIZE: integer := 32;
28
    CCSDS_RX_PHYSICAL_SIG_QUANT_DEPTH : integer := 16
29
  );
30
  port(
31
    -- inputs
32
    clk_i: in std_logic;
33
    rst_i: in std_logic;
34
    sam_i_i: in std_logic_vector(CCSDS_RX_PHYSICAL_SIG_QUANT_DEPTH-1 downto 0);
35
    sam_q_i: in std_logic_vector(CCSDS_RX_PHYSICAL_SIG_QUANT_DEPTH-1 downto 0);
36
    -- outputs
37
    clk_o: out std_logic;
38
    dat_o: out std_logic_vector(CCSDS_RX_PHYSICAL_DATA_BUS_SIZE-1 downto 0)
39
  );
40
end ccsds_rx_physical_layer;
41
 
42
--=============================================================================
43
-- architecture declaration / internal processing
44
--=============================================================================
45
architecture rtl of ccsds_rx_physical_layer is
46
--=============================================================================
47
-- architecture begin
48
--=============================================================================
49
  begin
50
    dat_o(CCSDS_RX_PHYSICAL_DATA_BUS_SIZE-1 downto CCSDS_RX_PHYSICAL_SIG_QUANT_DEPTH) <= sam_q_i;
51
    dat_o(CCSDS_RX_PHYSICAL_SIG_QUANT_DEPTH-1 downto 0) <= sam_i_i;
52
    clk_o <= clk_i;
53
    --=============================================================================
54
    -- Begin of physicalp
55
    -- TEST PURPOSES / DUMMY PHYSICAL LAYER PROCESS
56
    --=============================================================================
57
    -- read: clk_i
58
    -- write: 
59
    -- r/w: 
60
    PHYSICALP : process (clk_i)
61
      begin
62
      end process;
63
end rtl;
64
--=============================================================================
65
-- architecture end
66
--=============================================================================

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.