OpenCores
URL https://opencores.org/ocsvn/complexise/complexise/trunk

Subversion Repositories complexise

[/] [complexise/] [trunk/] [CI_ALTFP_ADD_SUB.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 iloveliora
-- megafunction wizard: %ALTFP_ADD_SUB%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altfp_add_sub 
5
 
6
-- ============================================================
7
-- File Name: CI_ALTFP_ADD_SUB.vhd
8
-- Megafunction Name(s):
9
--                      altfp_add_sub
10
--
11
-- Simulation Library Files(s):
12
--                      lpm
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2009 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
--altfp_add_sub CBX_AUTO_BLACKBOX="ALL" DENORMAL_SUPPORT="NO" DEVICE_FAMILY="Stratix" DIRECTION="ADD" OPTIMIZE="SPEED" PIPELINE=12 REDUCED_FUNCTIONALITY="NO" WIDTH_EXP=8 WIDTH_MAN=23 aclr clk_en clock dataa datab nan overflow result underflow zero
37
--VERSION_BEGIN 9.0SP2 cbx_altbarrel_shift 2008:08:28:01:40:10:SJ cbx_altfp_add_sub 2008:08:08:01:02:36:SJ cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_cycloneii 2008:05:19:10:57:37:SJ cbx_lpm_add_sub 2009:05:07:10:25:28:SJ cbx_lpm_compare 2009:02:03:01:43:16:SJ cbx_mgl 2009:02:26:16:06:21:SJ cbx_stratix 2008:09:18:16:08:35:SJ cbx_stratixii 2008:11:14:16:08:42:SJ  VERSION_END
38
 
39
 
40
--altbarrel_shift CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Stratix" PIPELINE=1 SHIFTDIR="LEFT" WIDTH=26 WIDTHDIST=5 aclr clk_en clock data distance result
41
--VERSION_BEGIN 9.0SP2 cbx_altbarrel_shift 2008:08:28:01:40:10:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
42
 
43
--synthesis_resources = lut 27 
44
 LIBRARY ieee;
45
 USE ieee.std_logic_1164.all;
46
 
47
 ENTITY  CI_ALTFP_ADD_SUB_altbarrel_shift_1qd IS
48
         PORT
49
         (
50
                 aclr   :       IN  STD_LOGIC := '0';
51
                 clk_en :       IN  STD_LOGIC := '1';
52
                 clock  :       IN  STD_LOGIC := '0';
53
                 data   :       IN  STD_LOGIC_VECTOR (25 DOWNTO 0);
54
                 distance       :       IN  STD_LOGIC_VECTOR (4 DOWNTO 0);
55
                 result :       OUT  STD_LOGIC_VECTOR (25 DOWNTO 0)
56
         );
57
 END CI_ALTFP_ADD_SUB_altbarrel_shift_1qd;
58
 
59
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altbarrel_shift_1qd IS
60
 
61
         SIGNAL  dir_pipe       :       STD_LOGIC_VECTOR(0 DOWNTO 0)
62
         -- synopsys translate_off
63
          := (OTHERS => '0')
64
         -- synopsys translate_on
65
         ;
66
         SIGNAL  sbit_piper1d   :       STD_LOGIC_VECTOR(25 DOWNTO 0)
67
         -- synopsys translate_off
68
          := (OTHERS => '0')
69
         -- synopsys translate_on
70
         ;
71
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range674w687w688w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
72
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range674w683w684w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
73
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range695w708w709w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
74
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range695w704w705w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
75
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range717w730w731w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
76
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range717w726w727w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
77
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range739w752w753w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
78
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range739w748w749w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
79
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range761w774w775w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
80
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range761w770w771w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
81
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range674w679w680w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
82
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range695w700w701w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
83
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range717w722w723w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
84
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range739w744w745w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
85
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range761w766w767w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
86
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range674w687w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
87
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range674w683w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
88
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range695w708w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
89
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range695w704w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
90
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range717w730w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
91
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range717w726w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
92
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range739w752w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
93
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range739w748w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
94
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range761w774w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
95
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range761w770w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
96
         SIGNAL  wire_lbarrel_shift_w_lg_w_dir_w_range671w686w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
97
         SIGNAL  wire_lbarrel_shift_w_lg_w_dir_w_range693w707w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
98
         SIGNAL  wire_lbarrel_shift_w_lg_w_dir_w_range714w729w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
99
         SIGNAL  wire_lbarrel_shift_w_lg_w_dir_w_range736w751w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
100
         SIGNAL  wire_lbarrel_shift_w_lg_w_dir_w_range758w773w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
101
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range674w679w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
102
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range695w700w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
103
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range717w722w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
104
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range739w744w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
105
         SIGNAL  wire_lbarrel_shift_w_lg_w_sel_w_range761w766w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
106
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range674w687w688w689w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
107
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range695w708w709w710w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
108
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range717w730w731w732w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
109
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range739w752w753w754w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
110
         SIGNAL  wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range761w774w775w776w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
111
         SIGNAL  wire_lbarrel_shift_w690w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
112
         SIGNAL  wire_lbarrel_shift_w711w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
113
         SIGNAL  wire_lbarrel_shift_w733w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
114
         SIGNAL  wire_lbarrel_shift_w755w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
115
         SIGNAL  wire_lbarrel_shift_w777w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
116
         SIGNAL  dir_w :        STD_LOGIC_VECTOR (5 DOWNTO 0);
117
         SIGNAL  direction_w :  STD_LOGIC;
118
         SIGNAL  pad_w :        STD_LOGIC_VECTOR (15 DOWNTO 0);
119
         SIGNAL  sbit_w :       STD_LOGIC_VECTOR (155 DOWNTO 0);
120
         SIGNAL  sel_w :        STD_LOGIC_VECTOR (4 DOWNTO 0);
121
         SIGNAL  smux_w :       STD_LOGIC_VECTOR (129 DOWNTO 0);
122
         SIGNAL  wire_lbarrel_shift_w682w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
123
         SIGNAL  wire_lbarrel_shift_w685w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
124
         SIGNAL  wire_lbarrel_shift_w703w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
125
         SIGNAL  wire_lbarrel_shift_w706w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
126
         SIGNAL  wire_lbarrel_shift_w725w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
127
         SIGNAL  wire_lbarrel_shift_w728w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
128
         SIGNAL  wire_lbarrel_shift_w747w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
129
         SIGNAL  wire_lbarrel_shift_w750w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
130
         SIGNAL  wire_lbarrel_shift_w769w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
131
         SIGNAL  wire_lbarrel_shift_w772w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
132
         SIGNAL  wire_lbarrel_shift_w_dir_w_range671w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
133
         SIGNAL  wire_lbarrel_shift_w_dir_w_range693w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
134
         SIGNAL  wire_lbarrel_shift_w_dir_w_range714w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
135
         SIGNAL  wire_lbarrel_shift_w_dir_w_range736w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
136
         SIGNAL  wire_lbarrel_shift_w_dir_w_range758w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
137
         SIGNAL  wire_lbarrel_shift_w_sbit_w_range734w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
138
         SIGNAL  wire_lbarrel_shift_w_sbit_w_range756w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
139
         SIGNAL  wire_lbarrel_shift_w_sbit_w_range669w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
140
         SIGNAL  wire_lbarrel_shift_w_sbit_w_range692w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
141
         SIGNAL  wire_lbarrel_shift_w_sbit_w_range712w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
142
         SIGNAL  wire_lbarrel_shift_w_sel_w_range674w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
143
         SIGNAL  wire_lbarrel_shift_w_sel_w_range695w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
144
         SIGNAL  wire_lbarrel_shift_w_sel_w_range717w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
145
         SIGNAL  wire_lbarrel_shift_w_sel_w_range739w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
146
         SIGNAL  wire_lbarrel_shift_w_sel_w_range761w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
147
         SIGNAL  wire_lbarrel_shift_w_smux_w_range765w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
148
 BEGIN
149
 
150
        loop0 : FOR i IN 0 TO 25 GENERATE
151
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range674w687w688w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range674w687w(0) AND wire_lbarrel_shift_w685w(i);
152
        END GENERATE loop0;
153
        loop1 : FOR i IN 0 TO 25 GENERATE
154
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range674w683w684w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range674w683w(0) AND wire_lbarrel_shift_w682w(i);
155
        END GENERATE loop1;
156
        loop2 : FOR i IN 0 TO 25 GENERATE
157
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range695w708w709w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range695w708w(0) AND wire_lbarrel_shift_w706w(i);
158
        END GENERATE loop2;
159
        loop3 : FOR i IN 0 TO 25 GENERATE
160
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range695w704w705w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range695w704w(0) AND wire_lbarrel_shift_w703w(i);
161
        END GENERATE loop3;
162
        loop4 : FOR i IN 0 TO 25 GENERATE
163
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range717w730w731w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range717w730w(0) AND wire_lbarrel_shift_w728w(i);
164
        END GENERATE loop4;
165
        loop5 : FOR i IN 0 TO 25 GENERATE
166
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range717w726w727w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range717w726w(0) AND wire_lbarrel_shift_w725w(i);
167
        END GENERATE loop5;
168
        loop6 : FOR i IN 0 TO 25 GENERATE
169
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range739w752w753w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range739w752w(0) AND wire_lbarrel_shift_w750w(i);
170
        END GENERATE loop6;
171
        loop7 : FOR i IN 0 TO 25 GENERATE
172
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range739w748w749w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range739w748w(0) AND wire_lbarrel_shift_w747w(i);
173
        END GENERATE loop7;
174
        loop8 : FOR i IN 0 TO 25 GENERATE
175
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range761w774w775w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range761w774w(0) AND wire_lbarrel_shift_w772w(i);
176
        END GENERATE loop8;
177
        loop9 : FOR i IN 0 TO 25 GENERATE
178
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range761w770w771w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range761w770w(0) AND wire_lbarrel_shift_w769w(i);
179
        END GENERATE loop9;
180
        loop10 : FOR i IN 0 TO 25 GENERATE
181
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range674w679w680w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range674w679w(0) AND wire_lbarrel_shift_w_sbit_w_range669w(i);
182
        END GENERATE loop10;
183
        loop11 : FOR i IN 0 TO 25 GENERATE
184
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range695w700w701w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range695w700w(0) AND wire_lbarrel_shift_w_sbit_w_range692w(i);
185
        END GENERATE loop11;
186
        loop12 : FOR i IN 0 TO 25 GENERATE
187
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range717w722w723w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range717w722w(0) AND wire_lbarrel_shift_w_sbit_w_range712w(i);
188
        END GENERATE loop12;
189
        loop13 : FOR i IN 0 TO 25 GENERATE
190
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range739w744w745w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range739w744w(0) AND wire_lbarrel_shift_w_sbit_w_range734w(i);
191
        END GENERATE loop13;
192
        loop14 : FOR i IN 0 TO 25 GENERATE
193
                wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range761w766w767w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range761w766w(0) AND wire_lbarrel_shift_w_sbit_w_range756w(i);
194
        END GENERATE loop14;
195
        wire_lbarrel_shift_w_lg_w_sel_w_range674w687w(0) <= wire_lbarrel_shift_w_sel_w_range674w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range671w686w(0);
196
        wire_lbarrel_shift_w_lg_w_sel_w_range674w683w(0) <= wire_lbarrel_shift_w_sel_w_range674w(0) AND wire_lbarrel_shift_w_dir_w_range671w(0);
197
        wire_lbarrel_shift_w_lg_w_sel_w_range695w708w(0) <= wire_lbarrel_shift_w_sel_w_range695w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range693w707w(0);
198
        wire_lbarrel_shift_w_lg_w_sel_w_range695w704w(0) <= wire_lbarrel_shift_w_sel_w_range695w(0) AND wire_lbarrel_shift_w_dir_w_range693w(0);
199
        wire_lbarrel_shift_w_lg_w_sel_w_range717w730w(0) <= wire_lbarrel_shift_w_sel_w_range717w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range714w729w(0);
200
        wire_lbarrel_shift_w_lg_w_sel_w_range717w726w(0) <= wire_lbarrel_shift_w_sel_w_range717w(0) AND wire_lbarrel_shift_w_dir_w_range714w(0);
201
        wire_lbarrel_shift_w_lg_w_sel_w_range739w752w(0) <= wire_lbarrel_shift_w_sel_w_range739w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range736w751w(0);
202
        wire_lbarrel_shift_w_lg_w_sel_w_range739w748w(0) <= wire_lbarrel_shift_w_sel_w_range739w(0) AND wire_lbarrel_shift_w_dir_w_range736w(0);
203
        wire_lbarrel_shift_w_lg_w_sel_w_range761w774w(0) <= wire_lbarrel_shift_w_sel_w_range761w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range758w773w(0);
204
        wire_lbarrel_shift_w_lg_w_sel_w_range761w770w(0) <= wire_lbarrel_shift_w_sel_w_range761w(0) AND wire_lbarrel_shift_w_dir_w_range758w(0);
205
        wire_lbarrel_shift_w_lg_w_dir_w_range671w686w(0) <= NOT wire_lbarrel_shift_w_dir_w_range671w(0);
206
        wire_lbarrel_shift_w_lg_w_dir_w_range693w707w(0) <= NOT wire_lbarrel_shift_w_dir_w_range693w(0);
207
        wire_lbarrel_shift_w_lg_w_dir_w_range714w729w(0) <= NOT wire_lbarrel_shift_w_dir_w_range714w(0);
208
        wire_lbarrel_shift_w_lg_w_dir_w_range736w751w(0) <= NOT wire_lbarrel_shift_w_dir_w_range736w(0);
209
        wire_lbarrel_shift_w_lg_w_dir_w_range758w773w(0) <= NOT wire_lbarrel_shift_w_dir_w_range758w(0);
210
        wire_lbarrel_shift_w_lg_w_sel_w_range674w679w(0) <= NOT wire_lbarrel_shift_w_sel_w_range674w(0);
211
        wire_lbarrel_shift_w_lg_w_sel_w_range695w700w(0) <= NOT wire_lbarrel_shift_w_sel_w_range695w(0);
212
        wire_lbarrel_shift_w_lg_w_sel_w_range717w722w(0) <= NOT wire_lbarrel_shift_w_sel_w_range717w(0);
213
        wire_lbarrel_shift_w_lg_w_sel_w_range739w744w(0) <= NOT wire_lbarrel_shift_w_sel_w_range739w(0);
214
        wire_lbarrel_shift_w_lg_w_sel_w_range761w766w(0) <= NOT wire_lbarrel_shift_w_sel_w_range761w(0);
215
        loop15 : FOR i IN 0 TO 25 GENERATE
216
                wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range674w687w688w689w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range674w687w688w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range674w683w684w(i);
217
        END GENERATE loop15;
218
        loop16 : FOR i IN 0 TO 25 GENERATE
219
                wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range695w708w709w710w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range695w708w709w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range695w704w705w(i);
220
        END GENERATE loop16;
221
        loop17 : FOR i IN 0 TO 25 GENERATE
222
                wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range717w730w731w732w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range717w730w731w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range717w726w727w(i);
223
        END GENERATE loop17;
224
        loop18 : FOR i IN 0 TO 25 GENERATE
225
                wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range739w752w753w754w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range739w752w753w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range739w748w749w(i);
226
        END GENERATE loop18;
227
        loop19 : FOR i IN 0 TO 25 GENERATE
228
                wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range761w774w775w776w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range761w774w775w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range761w770w771w(i);
229
        END GENERATE loop19;
230
        loop20 : FOR i IN 0 TO 25 GENERATE
231
                wire_lbarrel_shift_w690w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range674w687w688w689w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range674w679w680w(i);
232
        END GENERATE loop20;
233
        loop21 : FOR i IN 0 TO 25 GENERATE
234
                wire_lbarrel_shift_w711w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range695w708w709w710w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range695w700w701w(i);
235
        END GENERATE loop21;
236
        loop22 : FOR i IN 0 TO 25 GENERATE
237
                wire_lbarrel_shift_w733w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range717w730w731w732w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range717w722w723w(i);
238
        END GENERATE loop22;
239
        loop23 : FOR i IN 0 TO 25 GENERATE
240
                wire_lbarrel_shift_w755w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range739w752w753w754w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range739w744w745w(i);
241
        END GENERATE loop23;
242
        loop24 : FOR i IN 0 TO 25 GENERATE
243
                wire_lbarrel_shift_w777w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range761w774w775w776w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range761w766w767w(i);
244
        END GENERATE loop24;
245
        dir_w <= ( dir_pipe(0) & dir_w(3 DOWNTO 0) & direction_w);
246
        direction_w <= '0';
247
        pad_w <= (OTHERS => '0');
248
        result <= sbit_w(155 DOWNTO 130);
249
        sbit_w <= ( sbit_piper1d & smux_w(103 DOWNTO 0) & data);
250
        sel_w <= ( distance(4 DOWNTO 0));
251
        smux_w <= ( wire_lbarrel_shift_w777w & wire_lbarrel_shift_w755w & wire_lbarrel_shift_w733w & wire_lbarrel_shift_w711w & wire_lbarrel_shift_w690w);
252
        wire_lbarrel_shift_w682w <= ( pad_w(0) & sbit_w(25 DOWNTO 1));
253
        wire_lbarrel_shift_w685w <= ( sbit_w(24 DOWNTO 0) & pad_w(0));
254
        wire_lbarrel_shift_w703w <= ( pad_w(1 DOWNTO 0) & sbit_w(51 DOWNTO 28));
255
        wire_lbarrel_shift_w706w <= ( sbit_w(49 DOWNTO 26) & pad_w(1 DOWNTO 0));
256
        wire_lbarrel_shift_w725w <= ( pad_w(3 DOWNTO 0) & sbit_w(77 DOWNTO 56));
257
        wire_lbarrel_shift_w728w <= ( sbit_w(73 DOWNTO 52) & pad_w(3 DOWNTO 0));
258
        wire_lbarrel_shift_w747w <= ( pad_w(7 DOWNTO 0) & sbit_w(103 DOWNTO 86));
259
        wire_lbarrel_shift_w750w <= ( sbit_w(95 DOWNTO 78) & pad_w(7 DOWNTO 0));
260
        wire_lbarrel_shift_w769w <= ( pad_w(15 DOWNTO 0) & sbit_w(129 DOWNTO 120));
261
        wire_lbarrel_shift_w772w <= ( sbit_w(113 DOWNTO 104) & pad_w(15 DOWNTO 0));
262
        wire_lbarrel_shift_w_dir_w_range671w(0) <= dir_w(0);
263
        wire_lbarrel_shift_w_dir_w_range693w(0) <= dir_w(1);
264
        wire_lbarrel_shift_w_dir_w_range714w(0) <= dir_w(2);
265
        wire_lbarrel_shift_w_dir_w_range736w(0) <= dir_w(3);
266
        wire_lbarrel_shift_w_dir_w_range758w(0) <= dir_w(4);
267
        wire_lbarrel_shift_w_sbit_w_range734w <= sbit_w(103 DOWNTO 78);
268
        wire_lbarrel_shift_w_sbit_w_range756w <= sbit_w(129 DOWNTO 104);
269
        wire_lbarrel_shift_w_sbit_w_range669w <= sbit_w(25 DOWNTO 0);
270
        wire_lbarrel_shift_w_sbit_w_range692w <= sbit_w(51 DOWNTO 26);
271
        wire_lbarrel_shift_w_sbit_w_range712w <= sbit_w(77 DOWNTO 52);
272
        wire_lbarrel_shift_w_sel_w_range674w(0) <= sel_w(0);
273
        wire_lbarrel_shift_w_sel_w_range695w(0) <= sel_w(1);
274
        wire_lbarrel_shift_w_sel_w_range717w(0) <= sel_w(2);
275
        wire_lbarrel_shift_w_sel_w_range739w(0) <= sel_w(3);
276
        wire_lbarrel_shift_w_sel_w_range761w(0) <= sel_w(4);
277
        wire_lbarrel_shift_w_smux_w_range765w <= smux_w(129 DOWNTO 104);
278
        PROCESS (clock, aclr)
279
        BEGIN
280
                IF (aclr = '1') THEN dir_pipe <= (OTHERS => '0');
281
                ELSIF (clock = '1' AND clock'event) THEN
282
                        IF (clk_en = '1') THEN dir_pipe(0) <= ( dir_w(4));
283
                        END IF;
284
                END IF;
285
        END PROCESS;
286
        PROCESS (clock, aclr)
287
        BEGIN
288
                IF (aclr = '1') THEN sbit_piper1d <= (OTHERS => '0');
289
                ELSIF (clock = '1' AND clock'event) THEN
290
                        IF (clk_en = '1') THEN sbit_piper1d <= wire_lbarrel_shift_w_smux_w_range765w;
291
                        END IF;
292
                END IF;
293
        END PROCESS;
294
 
295
 END RTL; --CI_ALTFP_ADD_SUB_altbarrel_shift_1qd
296
 
297
 
298
--altbarrel_shift CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Stratix" PIPELINE=1 REGISTER_OUTPUT="NO" SHIFTDIR="RIGHT" WIDTH=26 WIDTHDIST=5 aclr clk_en clock data distance result
299
--VERSION_BEGIN 9.0SP2 cbx_altbarrel_shift 2008:08:28:01:40:10:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
300
 
301
--synthesis_resources = lut 29 
302
 LIBRARY ieee;
303
 USE ieee.std_logic_1164.all;
304
 
305
 ENTITY  CI_ALTFP_ADD_SUB_altbarrel_shift_7tf IS
306
         PORT
307
         (
308
                 aclr   :       IN  STD_LOGIC := '0';
309
                 clk_en :       IN  STD_LOGIC := '1';
310
                 clock  :       IN  STD_LOGIC := '0';
311
                 data   :       IN  STD_LOGIC_VECTOR (25 DOWNTO 0);
312
                 distance       :       IN  STD_LOGIC_VECTOR (4 DOWNTO 0);
313
                 result :       OUT  STD_LOGIC_VECTOR (25 DOWNTO 0)
314
         );
315
 END CI_ALTFP_ADD_SUB_altbarrel_shift_7tf;
316
 
317
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altbarrel_shift_7tf IS
318
 
319
         SIGNAL  dir_pipe       :       STD_LOGIC_VECTOR(0 DOWNTO 0)
320
         -- synopsys translate_off
321
          := (OTHERS => '0')
322
         -- synopsys translate_on
323
         ;
324
         SIGNAL  sbit_piper1d   :       STD_LOGIC_VECTOR(25 DOWNTO 0)
325
         -- synopsys translate_off
326
          := (OTHERS => '0')
327
         -- synopsys translate_on
328
         ;
329
         SIGNAL  sel_pipec3r1d  :       STD_LOGIC
330
         -- synopsys translate_off
331
          := '0'
332
         -- synopsys translate_on
333
         ;
334
         SIGNAL  sel_pipec4r1d  :       STD_LOGIC
335
         -- synopsys translate_off
336
          := '0'
337
         -- synopsys translate_on
338
         ;
339
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range789w802w803w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
340
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range789w798w799w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
341
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range810w823w824w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
342
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range810w819w820w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
343
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range832w845w846w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
344
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range832w841w842w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
345
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range855w867w868w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
346
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range855w863w864w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
347
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range874w886w887w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
348
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range874w882w883w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
349
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range789w794w795w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
350
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range810w815w816w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
351
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range832w837w838w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
352
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range855w859w860w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
353
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range874w878w879w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
354
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range789w802w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
355
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range789w798w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
356
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range810w823w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
357
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range810w819w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
358
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range832w845w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
359
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range832w841w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
360
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range855w867w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
361
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range855w863w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
362
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range874w886w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
363
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range874w882w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
364
         SIGNAL  wire_rbarrel_shift_w_lg_w_dir_w_range786w801w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
365
         SIGNAL  wire_rbarrel_shift_w_lg_w_dir_w_range808w822w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
366
         SIGNAL  wire_rbarrel_shift_w_lg_w_dir_w_range829w844w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
367
         SIGNAL  wire_rbarrel_shift_w_lg_w_dir_w_range853w866w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
368
         SIGNAL  wire_rbarrel_shift_w_lg_w_dir_w_range872w885w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
369
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range789w794w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
370
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range810w815w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
371
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range832w837w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
372
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range855w859w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
373
         SIGNAL  wire_rbarrel_shift_w_lg_w_sel_w_range874w878w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
374
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range789w802w803w804w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
375
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range810w823w824w825w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
376
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range832w845w846w847w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
377
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range855w867w868w869w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
378
         SIGNAL  wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range874w886w887w888w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
379
         SIGNAL  wire_rbarrel_shift_w805w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
380
         SIGNAL  wire_rbarrel_shift_w826w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
381
         SIGNAL  wire_rbarrel_shift_w848w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
382
         SIGNAL  wire_rbarrel_shift_w870w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
383
         SIGNAL  wire_rbarrel_shift_w889w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
384
         SIGNAL  dir_w :        STD_LOGIC_VECTOR (5 DOWNTO 0);
385
         SIGNAL  direction_w :  STD_LOGIC;
386
         SIGNAL  pad_w :        STD_LOGIC_VECTOR (15 DOWNTO 0);
387
         SIGNAL  sbit_w :       STD_LOGIC_VECTOR (155 DOWNTO 0);
388
         SIGNAL  sel_w :        STD_LOGIC_VECTOR (4 DOWNTO 0);
389
         SIGNAL  smux_w :       STD_LOGIC_VECTOR (129 DOWNTO 0);
390
         SIGNAL  wire_rbarrel_shift_w797w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
391
         SIGNAL  wire_rbarrel_shift_w800w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
392
         SIGNAL  wire_rbarrel_shift_w818w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
393
         SIGNAL  wire_rbarrel_shift_w821w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
394
         SIGNAL  wire_rbarrel_shift_w840w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
395
         SIGNAL  wire_rbarrel_shift_w843w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
396
         SIGNAL  wire_rbarrel_shift_w862w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
397
         SIGNAL  wire_rbarrel_shift_w865w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
398
         SIGNAL  wire_rbarrel_shift_w881w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
399
         SIGNAL  wire_rbarrel_shift_w884w       :       STD_LOGIC_VECTOR (25 DOWNTO 0);
400
         SIGNAL  wire_rbarrel_shift_w_dir_w_range786w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
401
         SIGNAL  wire_rbarrel_shift_w_dir_w_range808w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
402
         SIGNAL  wire_rbarrel_shift_w_dir_w_range829w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
403
         SIGNAL  wire_rbarrel_shift_w_dir_w_range853w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
404
         SIGNAL  wire_rbarrel_shift_w_dir_w_range872w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
405
         SIGNAL  wire_rbarrel_shift_w_sbit_w_range849w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
406
         SIGNAL  wire_rbarrel_shift_w_sbit_w_range871w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
407
         SIGNAL  wire_rbarrel_shift_w_sbit_w_range784w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
408
         SIGNAL  wire_rbarrel_shift_w_sbit_w_range807w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
409
         SIGNAL  wire_rbarrel_shift_w_sbit_w_range827w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
410
         SIGNAL  wire_rbarrel_shift_w_sel_w_range789w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
411
         SIGNAL  wire_rbarrel_shift_w_sel_w_range810w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
412
         SIGNAL  wire_rbarrel_shift_w_sel_w_range832w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
413
         SIGNAL  wire_rbarrel_shift_w_sel_w_range855w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
414
         SIGNAL  wire_rbarrel_shift_w_sel_w_range874w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
415
         SIGNAL  wire_rbarrel_shift_w_smux_w_range836w  :       STD_LOGIC_VECTOR (25 DOWNTO 0);
416
 BEGIN
417
 
418
        loop25 : FOR i IN 0 TO 25 GENERATE
419
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range789w802w803w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range789w802w(0) AND wire_rbarrel_shift_w800w(i);
420
        END GENERATE loop25;
421
        loop26 : FOR i IN 0 TO 25 GENERATE
422
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range789w798w799w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range789w798w(0) AND wire_rbarrel_shift_w797w(i);
423
        END GENERATE loop26;
424
        loop27 : FOR i IN 0 TO 25 GENERATE
425
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range810w823w824w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range810w823w(0) AND wire_rbarrel_shift_w821w(i);
426
        END GENERATE loop27;
427
        loop28 : FOR i IN 0 TO 25 GENERATE
428
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range810w819w820w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range810w819w(0) AND wire_rbarrel_shift_w818w(i);
429
        END GENERATE loop28;
430
        loop29 : FOR i IN 0 TO 25 GENERATE
431
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range832w845w846w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range832w845w(0) AND wire_rbarrel_shift_w843w(i);
432
        END GENERATE loop29;
433
        loop30 : FOR i IN 0 TO 25 GENERATE
434
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range832w841w842w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range832w841w(0) AND wire_rbarrel_shift_w840w(i);
435
        END GENERATE loop30;
436
        loop31 : FOR i IN 0 TO 25 GENERATE
437
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range855w867w868w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range855w867w(0) AND wire_rbarrel_shift_w865w(i);
438
        END GENERATE loop31;
439
        loop32 : FOR i IN 0 TO 25 GENERATE
440
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range855w863w864w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range855w863w(0) AND wire_rbarrel_shift_w862w(i);
441
        END GENERATE loop32;
442
        loop33 : FOR i IN 0 TO 25 GENERATE
443
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range874w886w887w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range874w886w(0) AND wire_rbarrel_shift_w884w(i);
444
        END GENERATE loop33;
445
        loop34 : FOR i IN 0 TO 25 GENERATE
446
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range874w882w883w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range874w882w(0) AND wire_rbarrel_shift_w881w(i);
447
        END GENERATE loop34;
448
        loop35 : FOR i IN 0 TO 25 GENERATE
449
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range789w794w795w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range789w794w(0) AND wire_rbarrel_shift_w_sbit_w_range784w(i);
450
        END GENERATE loop35;
451
        loop36 : FOR i IN 0 TO 25 GENERATE
452
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range810w815w816w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range810w815w(0) AND wire_rbarrel_shift_w_sbit_w_range807w(i);
453
        END GENERATE loop36;
454
        loop37 : FOR i IN 0 TO 25 GENERATE
455
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range832w837w838w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range832w837w(0) AND wire_rbarrel_shift_w_sbit_w_range827w(i);
456
        END GENERATE loop37;
457
        loop38 : FOR i IN 0 TO 25 GENERATE
458
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range855w859w860w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range855w859w(0) AND wire_rbarrel_shift_w_sbit_w_range849w(i);
459
        END GENERATE loop38;
460
        loop39 : FOR i IN 0 TO 25 GENERATE
461
                wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range874w878w879w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range874w878w(0) AND wire_rbarrel_shift_w_sbit_w_range871w(i);
462
        END GENERATE loop39;
463
        wire_rbarrel_shift_w_lg_w_sel_w_range789w802w(0) <= wire_rbarrel_shift_w_sel_w_range789w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range786w801w(0);
464
        wire_rbarrel_shift_w_lg_w_sel_w_range789w798w(0) <= wire_rbarrel_shift_w_sel_w_range789w(0) AND wire_rbarrel_shift_w_dir_w_range786w(0);
465
        wire_rbarrel_shift_w_lg_w_sel_w_range810w823w(0) <= wire_rbarrel_shift_w_sel_w_range810w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range808w822w(0);
466
        wire_rbarrel_shift_w_lg_w_sel_w_range810w819w(0) <= wire_rbarrel_shift_w_sel_w_range810w(0) AND wire_rbarrel_shift_w_dir_w_range808w(0);
467
        wire_rbarrel_shift_w_lg_w_sel_w_range832w845w(0) <= wire_rbarrel_shift_w_sel_w_range832w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range829w844w(0);
468
        wire_rbarrel_shift_w_lg_w_sel_w_range832w841w(0) <= wire_rbarrel_shift_w_sel_w_range832w(0) AND wire_rbarrel_shift_w_dir_w_range829w(0);
469
        wire_rbarrel_shift_w_lg_w_sel_w_range855w867w(0) <= wire_rbarrel_shift_w_sel_w_range855w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range853w866w(0);
470
        wire_rbarrel_shift_w_lg_w_sel_w_range855w863w(0) <= wire_rbarrel_shift_w_sel_w_range855w(0) AND wire_rbarrel_shift_w_dir_w_range853w(0);
471
        wire_rbarrel_shift_w_lg_w_sel_w_range874w886w(0) <= wire_rbarrel_shift_w_sel_w_range874w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range872w885w(0);
472
        wire_rbarrel_shift_w_lg_w_sel_w_range874w882w(0) <= wire_rbarrel_shift_w_sel_w_range874w(0) AND wire_rbarrel_shift_w_dir_w_range872w(0);
473
        wire_rbarrel_shift_w_lg_w_dir_w_range786w801w(0) <= NOT wire_rbarrel_shift_w_dir_w_range786w(0);
474
        wire_rbarrel_shift_w_lg_w_dir_w_range808w822w(0) <= NOT wire_rbarrel_shift_w_dir_w_range808w(0);
475
        wire_rbarrel_shift_w_lg_w_dir_w_range829w844w(0) <= NOT wire_rbarrel_shift_w_dir_w_range829w(0);
476
        wire_rbarrel_shift_w_lg_w_dir_w_range853w866w(0) <= NOT wire_rbarrel_shift_w_dir_w_range853w(0);
477
        wire_rbarrel_shift_w_lg_w_dir_w_range872w885w(0) <= NOT wire_rbarrel_shift_w_dir_w_range872w(0);
478
        wire_rbarrel_shift_w_lg_w_sel_w_range789w794w(0) <= NOT wire_rbarrel_shift_w_sel_w_range789w(0);
479
        wire_rbarrel_shift_w_lg_w_sel_w_range810w815w(0) <= NOT wire_rbarrel_shift_w_sel_w_range810w(0);
480
        wire_rbarrel_shift_w_lg_w_sel_w_range832w837w(0) <= NOT wire_rbarrel_shift_w_sel_w_range832w(0);
481
        wire_rbarrel_shift_w_lg_w_sel_w_range855w859w(0) <= NOT wire_rbarrel_shift_w_sel_w_range855w(0);
482
        wire_rbarrel_shift_w_lg_w_sel_w_range874w878w(0) <= NOT wire_rbarrel_shift_w_sel_w_range874w(0);
483
        loop40 : FOR i IN 0 TO 25 GENERATE
484
                wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range789w802w803w804w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range789w802w803w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range789w798w799w(i);
485
        END GENERATE loop40;
486
        loop41 : FOR i IN 0 TO 25 GENERATE
487
                wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range810w823w824w825w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range810w823w824w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range810w819w820w(i);
488
        END GENERATE loop41;
489
        loop42 : FOR i IN 0 TO 25 GENERATE
490
                wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range832w845w846w847w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range832w845w846w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range832w841w842w(i);
491
        END GENERATE loop42;
492
        loop43 : FOR i IN 0 TO 25 GENERATE
493
                wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range855w867w868w869w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range855w867w868w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range855w863w864w(i);
494
        END GENERATE loop43;
495
        loop44 : FOR i IN 0 TO 25 GENERATE
496
                wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range874w886w887w888w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range874w886w887w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range874w882w883w(i);
497
        END GENERATE loop44;
498
        loop45 : FOR i IN 0 TO 25 GENERATE
499
                wire_rbarrel_shift_w805w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range789w802w803w804w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range789w794w795w(i);
500
        END GENERATE loop45;
501
        loop46 : FOR i IN 0 TO 25 GENERATE
502
                wire_rbarrel_shift_w826w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range810w823w824w825w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range810w815w816w(i);
503
        END GENERATE loop46;
504
        loop47 : FOR i IN 0 TO 25 GENERATE
505
                wire_rbarrel_shift_w848w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range832w845w846w847w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range832w837w838w(i);
506
        END GENERATE loop47;
507
        loop48 : FOR i IN 0 TO 25 GENERATE
508
                wire_rbarrel_shift_w870w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range855w867w868w869w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range855w859w860w(i);
509
        END GENERATE loop48;
510
        loop49 : FOR i IN 0 TO 25 GENERATE
511
                wire_rbarrel_shift_w889w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range874w886w887w888w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range874w878w879w(i);
512
        END GENERATE loop49;
513
        dir_w <= ( dir_w(4 DOWNTO 3) & dir_pipe(0) & dir_w(1 DOWNTO 0) & direction_w);
514
        direction_w <= '1';
515
        pad_w <= (OTHERS => '0');
516
        result <= sbit_w(155 DOWNTO 130);
517
        sbit_w <= ( smux_w(129 DOWNTO 78) & sbit_piper1d & smux_w(51 DOWNTO 0) & data);
518
        sel_w <= ( sel_pipec4r1d & sel_pipec3r1d & distance(2 DOWNTO 0));
519
        smux_w <= ( wire_rbarrel_shift_w889w & wire_rbarrel_shift_w870w & wire_rbarrel_shift_w848w & wire_rbarrel_shift_w826w & wire_rbarrel_shift_w805w);
520
        wire_rbarrel_shift_w797w <= ( pad_w(0) & sbit_w(25 DOWNTO 1));
521
        wire_rbarrel_shift_w800w <= ( sbit_w(24 DOWNTO 0) & pad_w(0));
522
        wire_rbarrel_shift_w818w <= ( pad_w(1 DOWNTO 0) & sbit_w(51 DOWNTO 28));
523
        wire_rbarrel_shift_w821w <= ( sbit_w(49 DOWNTO 26) & pad_w(1 DOWNTO 0));
524
        wire_rbarrel_shift_w840w <= ( pad_w(3 DOWNTO 0) & sbit_w(77 DOWNTO 56));
525
        wire_rbarrel_shift_w843w <= ( sbit_w(73 DOWNTO 52) & pad_w(3 DOWNTO 0));
526
        wire_rbarrel_shift_w862w <= ( pad_w(7 DOWNTO 0) & sbit_w(103 DOWNTO 86));
527
        wire_rbarrel_shift_w865w <= ( sbit_w(95 DOWNTO 78) & pad_w(7 DOWNTO 0));
528
        wire_rbarrel_shift_w881w <= ( pad_w(15 DOWNTO 0) & sbit_w(129 DOWNTO 120));
529
        wire_rbarrel_shift_w884w <= ( sbit_w(113 DOWNTO 104) & pad_w(15 DOWNTO 0));
530
        wire_rbarrel_shift_w_dir_w_range786w(0) <= dir_w(0);
531
        wire_rbarrel_shift_w_dir_w_range808w(0) <= dir_w(1);
532
        wire_rbarrel_shift_w_dir_w_range829w(0) <= dir_w(2);
533
        wire_rbarrel_shift_w_dir_w_range853w(0) <= dir_w(3);
534
        wire_rbarrel_shift_w_dir_w_range872w(0) <= dir_w(4);
535
        wire_rbarrel_shift_w_sbit_w_range849w <= sbit_w(103 DOWNTO 78);
536
        wire_rbarrel_shift_w_sbit_w_range871w <= sbit_w(129 DOWNTO 104);
537
        wire_rbarrel_shift_w_sbit_w_range784w <= sbit_w(25 DOWNTO 0);
538
        wire_rbarrel_shift_w_sbit_w_range807w <= sbit_w(51 DOWNTO 26);
539
        wire_rbarrel_shift_w_sbit_w_range827w <= sbit_w(77 DOWNTO 52);
540
        wire_rbarrel_shift_w_sel_w_range789w(0) <= sel_w(0);
541
        wire_rbarrel_shift_w_sel_w_range810w(0) <= sel_w(1);
542
        wire_rbarrel_shift_w_sel_w_range832w(0) <= sel_w(2);
543
        wire_rbarrel_shift_w_sel_w_range855w(0) <= sel_w(3);
544
        wire_rbarrel_shift_w_sel_w_range874w(0) <= sel_w(4);
545
        wire_rbarrel_shift_w_smux_w_range836w <= smux_w(77 DOWNTO 52);
546
        PROCESS (clock, aclr)
547
        BEGIN
548
                IF (aclr = '1') THEN dir_pipe <= (OTHERS => '0');
549
                ELSIF (clock = '1' AND clock'event) THEN
550
                        IF (clk_en = '1') THEN dir_pipe(0) <= ( dir_w(2));
551
                        END IF;
552
                END IF;
553
        END PROCESS;
554
        PROCESS (clock, aclr)
555
        BEGIN
556
                IF (aclr = '1') THEN sbit_piper1d <= (OTHERS => '0');
557
                ELSIF (clock = '1' AND clock'event) THEN
558
                        IF (clk_en = '1') THEN sbit_piper1d <= wire_rbarrel_shift_w_smux_w_range836w;
559
                        END IF;
560
                END IF;
561
        END PROCESS;
562
        PROCESS (clock, aclr)
563
        BEGIN
564
                IF (aclr = '1') THEN sel_pipec3r1d <= '0';
565
                ELSIF (clock = '1' AND clock'event) THEN
566
                        IF (clk_en = '1') THEN sel_pipec3r1d <= distance(3);
567
                        END IF;
568
                END IF;
569
        END PROCESS;
570
        PROCESS (clock, aclr)
571
        BEGIN
572
                IF (aclr = '1') THEN sel_pipec4r1d <= '0';
573
                ELSIF (clock = '1' AND clock'event) THEN
574
                        IF (clk_en = '1') THEN sel_pipec4r1d <= distance(4);
575
                        END IF;
576
                END IF;
577
        END PROCESS;
578
 
579
 END RTL; --CI_ALTFP_ADD_SUB_altbarrel_shift_7tf
580
 
581
 
582
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" PIPELINE=1 WIDTH=32 WIDTHAD=5 aclr clk_en clock data q
583
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
584
 
585
 
586
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q
587
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
588
 
589
 
590
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q zero
591
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
592
 
593
 
594
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q zero
595
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
596
 
597
 
598
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q zero
599
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
600
 
601
--synthesis_resources = 
602
 LIBRARY ieee;
603
 USE ieee.std_logic_1164.all;
604
 
605
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_3e8 IS
606
         PORT
607
         (
608
                 data   :       IN  STD_LOGIC_VECTOR (1 DOWNTO 0);
609
                 q      :       OUT  STD_LOGIC_VECTOR (0 DOWNTO 0);
610
                 zero   :       OUT  STD_LOGIC
611
         );
612
 END CI_ALTFP_ADD_SUB_altpriority_encoder_3e8;
613
 
614
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_3e8 IS
615
 
616
 BEGIN
617
 
618
        q(0) <= ( data(1));
619
        zero <= (NOT (data(0) OR data(1)));
620
 
621
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_3e8
622
 
623
--synthesis_resources = 
624
 LIBRARY ieee;
625
 USE ieee.std_logic_1164.all;
626
 
627
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_6e8 IS
628
         PORT
629
         (
630
                 data   :       IN  STD_LOGIC_VECTOR (3 DOWNTO 0);
631
                 q      :       OUT  STD_LOGIC_VECTOR (1 DOWNTO 0);
632
                 zero   :       OUT  STD_LOGIC
633
         );
634
 END CI_ALTFP_ADD_SUB_altpriority_encoder_6e8;
635
 
636
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_6e8 IS
637
 
638
         SIGNAL  wire_altpriority_encoder13_q   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
639
         SIGNAL  wire_altpriority_encoder13_zero        :       STD_LOGIC;
640
         SIGNAL  wire_altpriority_encoder14_w_lg_w_lg_zero925w926w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
641
         SIGNAL  wire_altpriority_encoder14_w_lg_zero927w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
642
         SIGNAL  wire_altpriority_encoder14_w_lg_zero925w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
643
         SIGNAL  wire_altpriority_encoder14_w_lg_w_lg_zero927w928w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
644
         SIGNAL  wire_altpriority_encoder14_q   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
645
         SIGNAL  wire_altpriority_encoder14_zero        :       STD_LOGIC;
646
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_3e8
647
         PORT
648
         (
649
                data    :       IN  STD_LOGIC_VECTOR(1 DOWNTO 0);
650
                q       :       OUT  STD_LOGIC_VECTOR(0 DOWNTO 0);
651
                zero    :       OUT  STD_LOGIC
652
         );
653
         END COMPONENT;
654
 BEGIN
655
 
656
        q <= ( wire_altpriority_encoder14_w_lg_zero925w & wire_altpriority_encoder14_w_lg_w_lg_zero927w928w);
657
        zero <= (wire_altpriority_encoder13_zero AND wire_altpriority_encoder14_zero);
658
        altpriority_encoder13 :  CI_ALTFP_ADD_SUB_altpriority_encoder_3e8
659
          PORT MAP (
660
                data => data(1 DOWNTO 0),
661
                q => wire_altpriority_encoder13_q,
662
                zero => wire_altpriority_encoder13_zero
663
          );
664
        wire_altpriority_encoder14_w_lg_w_lg_zero925w926w(0) <= wire_altpriority_encoder14_w_lg_zero925w(0) AND wire_altpriority_encoder14_q(0);
665
        wire_altpriority_encoder14_w_lg_zero927w(0) <= wire_altpriority_encoder14_zero AND wire_altpriority_encoder13_q(0);
666
        wire_altpriority_encoder14_w_lg_zero925w(0) <= NOT wire_altpriority_encoder14_zero;
667
        wire_altpriority_encoder14_w_lg_w_lg_zero927w928w(0) <= wire_altpriority_encoder14_w_lg_zero927w(0) OR wire_altpriority_encoder14_w_lg_w_lg_zero925w926w(0);
668
        altpriority_encoder14 :  CI_ALTFP_ADD_SUB_altpriority_encoder_3e8
669
          PORT MAP (
670
                data => data(3 DOWNTO 2),
671
                q => wire_altpriority_encoder14_q,
672
                zero => wire_altpriority_encoder14_zero
673
          );
674
 
675
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_6e8
676
 
677
--synthesis_resources = 
678
 LIBRARY ieee;
679
 USE ieee.std_logic_1164.all;
680
 
681
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_be8 IS
682
         PORT
683
         (
684
                 data   :       IN  STD_LOGIC_VECTOR (7 DOWNTO 0);
685
                 q      :       OUT  STD_LOGIC_VECTOR (2 DOWNTO 0);
686
                 zero   :       OUT  STD_LOGIC
687
         );
688
 END CI_ALTFP_ADD_SUB_altpriority_encoder_be8;
689
 
690
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_be8 IS
691
 
692
         SIGNAL  wire_altpriority_encoder11_q   :       STD_LOGIC_VECTOR (1 DOWNTO 0);
693
         SIGNAL  wire_altpriority_encoder11_zero        :       STD_LOGIC;
694
         SIGNAL  wire_altpriority_encoder12_w_lg_w_lg_zero915w916w      :       STD_LOGIC_VECTOR (1 DOWNTO 0);
695
         SIGNAL  wire_altpriority_encoder12_w_lg_zero917w       :       STD_LOGIC_VECTOR (1 DOWNTO 0);
696
         SIGNAL  wire_altpriority_encoder12_w_lg_zero915w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
697
         SIGNAL  wire_altpriority_encoder12_w_lg_w_lg_zero917w918w      :       STD_LOGIC_VECTOR (1 DOWNTO 0);
698
         SIGNAL  wire_altpriority_encoder12_q   :       STD_LOGIC_VECTOR (1 DOWNTO 0);
699
         SIGNAL  wire_altpriority_encoder12_zero        :       STD_LOGIC;
700
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_6e8
701
         PORT
702
         (
703
                data    :       IN  STD_LOGIC_VECTOR(3 DOWNTO 0);
704
                q       :       OUT  STD_LOGIC_VECTOR(1 DOWNTO 0);
705
                zero    :       OUT  STD_LOGIC
706
         );
707
         END COMPONENT;
708
 BEGIN
709
 
710
        q <= ( wire_altpriority_encoder12_w_lg_zero915w & wire_altpriority_encoder12_w_lg_w_lg_zero917w918w);
711
        zero <= (wire_altpriority_encoder11_zero AND wire_altpriority_encoder12_zero);
712
        altpriority_encoder11 :  CI_ALTFP_ADD_SUB_altpriority_encoder_6e8
713
          PORT MAP (
714
                data => data(3 DOWNTO 0),
715
                q => wire_altpriority_encoder11_q,
716
                zero => wire_altpriority_encoder11_zero
717
          );
718
        loop50 : FOR i IN 0 TO 1 GENERATE
719
                wire_altpriority_encoder12_w_lg_w_lg_zero915w916w(i) <= wire_altpriority_encoder12_w_lg_zero915w(0) AND wire_altpriority_encoder12_q(i);
720
        END GENERATE loop50;
721
        loop51 : FOR i IN 0 TO 1 GENERATE
722
                wire_altpriority_encoder12_w_lg_zero917w(i) <= wire_altpriority_encoder12_zero AND wire_altpriority_encoder11_q(i);
723
        END GENERATE loop51;
724
        wire_altpriority_encoder12_w_lg_zero915w(0) <= NOT wire_altpriority_encoder12_zero;
725
        loop52 : FOR i IN 0 TO 1 GENERATE
726
                wire_altpriority_encoder12_w_lg_w_lg_zero917w918w(i) <= wire_altpriority_encoder12_w_lg_zero917w(i) OR wire_altpriority_encoder12_w_lg_w_lg_zero915w916w(i);
727
        END GENERATE loop52;
728
        altpriority_encoder12 :  CI_ALTFP_ADD_SUB_altpriority_encoder_6e8
729
          PORT MAP (
730
                data => data(7 DOWNTO 4),
731
                q => wire_altpriority_encoder12_q,
732
                zero => wire_altpriority_encoder12_zero
733
          );
734
 
735
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_be8
736
 
737
 
738
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q
739
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
740
 
741
 
742
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q
743
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
744
 
745
 
746
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q
747
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
748
 
749
--synthesis_resources = 
750
 LIBRARY ieee;
751
 USE ieee.std_logic_1164.all;
752
 
753
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_3v7 IS
754
         PORT
755
         (
756
                 data   :       IN  STD_LOGIC_VECTOR (1 DOWNTO 0);
757
                 q      :       OUT  STD_LOGIC_VECTOR (0 DOWNTO 0)
758
         );
759
 END CI_ALTFP_ADD_SUB_altpriority_encoder_3v7;
760
 
761
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_3v7 IS
762
 
763
 BEGIN
764
 
765
        q(0) <= ( data(1));
766
 
767
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_3v7
768
 
769
--synthesis_resources = 
770
 LIBRARY ieee;
771
 USE ieee.std_logic_1164.all;
772
 
773
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_6v7 IS
774
         PORT
775
         (
776
                 data   :       IN  STD_LOGIC_VECTOR (3 DOWNTO 0);
777
                 q      :       OUT  STD_LOGIC_VECTOR (1 DOWNTO 0)
778
         );
779
 END CI_ALTFP_ADD_SUB_altpriority_encoder_6v7;
780
 
781
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_6v7 IS
782
 
783
         SIGNAL  wire_altpriority_encoder17_q   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
784
         SIGNAL  wire_altpriority_encoder18_w_lg_w_lg_zero950w951w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
785
         SIGNAL  wire_altpriority_encoder18_w_lg_zero952w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
786
         SIGNAL  wire_altpriority_encoder18_w_lg_zero950w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
787
         SIGNAL  wire_altpriority_encoder18_w_lg_w_lg_zero952w953w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
788
         SIGNAL  wire_altpriority_encoder18_q   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
789
         SIGNAL  wire_altpriority_encoder18_zero        :       STD_LOGIC;
790
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_3v7
791
         PORT
792
         (
793
                data    :       IN  STD_LOGIC_VECTOR(1 DOWNTO 0);
794
                q       :       OUT  STD_LOGIC_VECTOR(0 DOWNTO 0)
795
         );
796
         END COMPONENT;
797
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_3e8
798
         PORT
799
         (
800
                data    :       IN  STD_LOGIC_VECTOR(1 DOWNTO 0);
801
                q       :       OUT  STD_LOGIC_VECTOR(0 DOWNTO 0);
802
                zero    :       OUT  STD_LOGIC
803
         );
804
         END COMPONENT;
805
 BEGIN
806
 
807
        q <= ( wire_altpriority_encoder18_w_lg_zero950w & wire_altpriority_encoder18_w_lg_w_lg_zero952w953w);
808
        altpriority_encoder17 :  CI_ALTFP_ADD_SUB_altpriority_encoder_3v7
809
          PORT MAP (
810
                data => data(1 DOWNTO 0),
811
                q => wire_altpriority_encoder17_q
812
          );
813
        wire_altpriority_encoder18_w_lg_w_lg_zero950w951w(0) <= wire_altpriority_encoder18_w_lg_zero950w(0) AND wire_altpriority_encoder18_q(0);
814
        wire_altpriority_encoder18_w_lg_zero952w(0) <= wire_altpriority_encoder18_zero AND wire_altpriority_encoder17_q(0);
815
        wire_altpriority_encoder18_w_lg_zero950w(0) <= NOT wire_altpriority_encoder18_zero;
816
        wire_altpriority_encoder18_w_lg_w_lg_zero952w953w(0) <= wire_altpriority_encoder18_w_lg_zero952w(0) OR wire_altpriority_encoder18_w_lg_w_lg_zero950w951w(0);
817
        altpriority_encoder18 :  CI_ALTFP_ADD_SUB_altpriority_encoder_3e8
818
          PORT MAP (
819
                data => data(3 DOWNTO 2),
820
                q => wire_altpriority_encoder18_q,
821
                zero => wire_altpriority_encoder18_zero
822
          );
823
 
824
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_6v7
825
 
826
--synthesis_resources = 
827
 LIBRARY ieee;
828
 USE ieee.std_logic_1164.all;
829
 
830
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_bv7 IS
831
         PORT
832
         (
833
                 data   :       IN  STD_LOGIC_VECTOR (7 DOWNTO 0);
834
                 q      :       OUT  STD_LOGIC_VECTOR (2 DOWNTO 0)
835
         );
836
 END CI_ALTFP_ADD_SUB_altpriority_encoder_bv7;
837
 
838
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_bv7 IS
839
 
840
         SIGNAL  wire_altpriority_encoder15_q   :       STD_LOGIC_VECTOR (1 DOWNTO 0);
841
         SIGNAL  wire_altpriority_encoder16_w_lg_w_lg_zero941w942w      :       STD_LOGIC_VECTOR (1 DOWNTO 0);
842
         SIGNAL  wire_altpriority_encoder16_w_lg_zero943w       :       STD_LOGIC_VECTOR (1 DOWNTO 0);
843
         SIGNAL  wire_altpriority_encoder16_w_lg_zero941w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
844
         SIGNAL  wire_altpriority_encoder16_w_lg_w_lg_zero943w944w      :       STD_LOGIC_VECTOR (1 DOWNTO 0);
845
         SIGNAL  wire_altpriority_encoder16_q   :       STD_LOGIC_VECTOR (1 DOWNTO 0);
846
         SIGNAL  wire_altpriority_encoder16_zero        :       STD_LOGIC;
847
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_6v7
848
         PORT
849
         (
850
                data    :       IN  STD_LOGIC_VECTOR(3 DOWNTO 0);
851
                q       :       OUT  STD_LOGIC_VECTOR(1 DOWNTO 0)
852
         );
853
         END COMPONENT;
854
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_6e8
855
         PORT
856
         (
857
                data    :       IN  STD_LOGIC_VECTOR(3 DOWNTO 0);
858
                q       :       OUT  STD_LOGIC_VECTOR(1 DOWNTO 0);
859
                zero    :       OUT  STD_LOGIC
860
         );
861
         END COMPONENT;
862
 BEGIN
863
 
864
        q <= ( wire_altpriority_encoder16_w_lg_zero941w & wire_altpriority_encoder16_w_lg_w_lg_zero943w944w);
865
        altpriority_encoder15 :  CI_ALTFP_ADD_SUB_altpriority_encoder_6v7
866
          PORT MAP (
867
                data => data(3 DOWNTO 0),
868
                q => wire_altpriority_encoder15_q
869
          );
870
        loop53 : FOR i IN 0 TO 1 GENERATE
871
                wire_altpriority_encoder16_w_lg_w_lg_zero941w942w(i) <= wire_altpriority_encoder16_w_lg_zero941w(0) AND wire_altpriority_encoder16_q(i);
872
        END GENERATE loop53;
873
        loop54 : FOR i IN 0 TO 1 GENERATE
874
                wire_altpriority_encoder16_w_lg_zero943w(i) <= wire_altpriority_encoder16_zero AND wire_altpriority_encoder15_q(i);
875
        END GENERATE loop54;
876
        wire_altpriority_encoder16_w_lg_zero941w(0) <= NOT wire_altpriority_encoder16_zero;
877
        loop55 : FOR i IN 0 TO 1 GENERATE
878
                wire_altpriority_encoder16_w_lg_w_lg_zero943w944w(i) <= wire_altpriority_encoder16_w_lg_zero943w(i) OR wire_altpriority_encoder16_w_lg_w_lg_zero941w942w(i);
879
        END GENERATE loop55;
880
        altpriority_encoder16 :  CI_ALTFP_ADD_SUB_altpriority_encoder_6e8
881
          PORT MAP (
882
                data => data(7 DOWNTO 4),
883
                q => wire_altpriority_encoder16_q,
884
                zero => wire_altpriority_encoder16_zero
885
          );
886
 
887
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_bv7
888
 
889
--synthesis_resources = 
890
 LIBRARY ieee;
891
 USE ieee.std_logic_1164.all;
892
 
893
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_uv8 IS
894
         PORT
895
         (
896
                 data   :       IN  STD_LOGIC_VECTOR (15 DOWNTO 0);
897
                 q      :       OUT  STD_LOGIC_VECTOR (3 DOWNTO 0)
898
         );
899
 END CI_ALTFP_ADD_SUB_altpriority_encoder_uv8;
900
 
901
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_uv8 IS
902
 
903
         SIGNAL  wire_altpriority_encoder10_w_lg_w_lg_zero906w907w      :       STD_LOGIC_VECTOR (2 DOWNTO 0);
904
         SIGNAL  wire_altpriority_encoder10_w_lg_zero908w       :       STD_LOGIC_VECTOR (2 DOWNTO 0);
905
         SIGNAL  wire_altpriority_encoder10_w_lg_zero906w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
906
         SIGNAL  wire_altpriority_encoder10_w_lg_w_lg_zero908w909w      :       STD_LOGIC_VECTOR (2 DOWNTO 0);
907
         SIGNAL  wire_altpriority_encoder10_q   :       STD_LOGIC_VECTOR (2 DOWNTO 0);
908
         SIGNAL  wire_altpriority_encoder10_zero        :       STD_LOGIC;
909
         SIGNAL  wire_altpriority_encoder9_q    :       STD_LOGIC_VECTOR (2 DOWNTO 0);
910
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_be8
911
         PORT
912
         (
913
                data    :       IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
914
                q       :       OUT  STD_LOGIC_VECTOR(2 DOWNTO 0);
915
                zero    :       OUT  STD_LOGIC
916
         );
917
         END COMPONENT;
918
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_bv7
919
         PORT
920
         (
921
                data    :       IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
922
                q       :       OUT  STD_LOGIC_VECTOR(2 DOWNTO 0)
923
         );
924
         END COMPONENT;
925
 BEGIN
926
 
927
        q <= ( wire_altpriority_encoder10_w_lg_zero906w & wire_altpriority_encoder10_w_lg_w_lg_zero908w909w);
928
        loop56 : FOR i IN 0 TO 2 GENERATE
929
                wire_altpriority_encoder10_w_lg_w_lg_zero906w907w(i) <= wire_altpriority_encoder10_w_lg_zero906w(0) AND wire_altpriority_encoder10_q(i);
930
        END GENERATE loop56;
931
        loop57 : FOR i IN 0 TO 2 GENERATE
932
                wire_altpriority_encoder10_w_lg_zero908w(i) <= wire_altpriority_encoder10_zero AND wire_altpriority_encoder9_q(i);
933
        END GENERATE loop57;
934
        wire_altpriority_encoder10_w_lg_zero906w(0) <= NOT wire_altpriority_encoder10_zero;
935
        loop58 : FOR i IN 0 TO 2 GENERATE
936
                wire_altpriority_encoder10_w_lg_w_lg_zero908w909w(i) <= wire_altpriority_encoder10_w_lg_zero908w(i) OR wire_altpriority_encoder10_w_lg_w_lg_zero906w907w(i);
937
        END GENERATE loop58;
938
        altpriority_encoder10 :  CI_ALTFP_ADD_SUB_altpriority_encoder_be8
939
          PORT MAP (
940
                data => data(15 DOWNTO 8),
941
                q => wire_altpriority_encoder10_q,
942
                zero => wire_altpriority_encoder10_zero
943
          );
944
        altpriority_encoder9 :  CI_ALTFP_ADD_SUB_altpriority_encoder_bv7
945
          PORT MAP (
946
                data => data(7 DOWNTO 0),
947
                q => wire_altpriority_encoder9_q
948
          );
949
 
950
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_uv8
951
 
952
 
953
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q zero
954
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
955
 
956
--synthesis_resources = 
957
 LIBRARY ieee;
958
 USE ieee.std_logic_1164.all;
959
 
960
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_ue9 IS
961
         PORT
962
         (
963
                 data   :       IN  STD_LOGIC_VECTOR (15 DOWNTO 0);
964
                 q      :       OUT  STD_LOGIC_VECTOR (3 DOWNTO 0);
965
                 zero   :       OUT  STD_LOGIC
966
         );
967
 END CI_ALTFP_ADD_SUB_altpriority_encoder_ue9;
968
 
969
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_ue9 IS
970
 
971
         SIGNAL  wire_altpriority_encoder19_q   :       STD_LOGIC_VECTOR (2 DOWNTO 0);
972
         SIGNAL  wire_altpriority_encoder19_zero        :       STD_LOGIC;
973
         SIGNAL  wire_altpriority_encoder20_w_lg_w_lg_zero962w963w      :       STD_LOGIC_VECTOR (2 DOWNTO 0);
974
         SIGNAL  wire_altpriority_encoder20_w_lg_zero964w       :       STD_LOGIC_VECTOR (2 DOWNTO 0);
975
         SIGNAL  wire_altpriority_encoder20_w_lg_zero962w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
976
         SIGNAL  wire_altpriority_encoder20_w_lg_w_lg_zero964w965w      :       STD_LOGIC_VECTOR (2 DOWNTO 0);
977
         SIGNAL  wire_altpriority_encoder20_q   :       STD_LOGIC_VECTOR (2 DOWNTO 0);
978
         SIGNAL  wire_altpriority_encoder20_zero        :       STD_LOGIC;
979
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_be8
980
         PORT
981
         (
982
                data    :       IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
983
                q       :       OUT  STD_LOGIC_VECTOR(2 DOWNTO 0);
984
                zero    :       OUT  STD_LOGIC
985
         );
986
         END COMPONENT;
987
 BEGIN
988
 
989
        q <= ( wire_altpriority_encoder20_w_lg_zero962w & wire_altpriority_encoder20_w_lg_w_lg_zero964w965w);
990
        zero <= (wire_altpriority_encoder19_zero AND wire_altpriority_encoder20_zero);
991
        altpriority_encoder19 :  CI_ALTFP_ADD_SUB_altpriority_encoder_be8
992
          PORT MAP (
993
                data => data(7 DOWNTO 0),
994
                q => wire_altpriority_encoder19_q,
995
                zero => wire_altpriority_encoder19_zero
996
          );
997
        loop59 : FOR i IN 0 TO 2 GENERATE
998
                wire_altpriority_encoder20_w_lg_w_lg_zero962w963w(i) <= wire_altpriority_encoder20_w_lg_zero962w(0) AND wire_altpriority_encoder20_q(i);
999
        END GENERATE loop59;
1000
        loop60 : FOR i IN 0 TO 2 GENERATE
1001
                wire_altpriority_encoder20_w_lg_zero964w(i) <= wire_altpriority_encoder20_zero AND wire_altpriority_encoder19_q(i);
1002
        END GENERATE loop60;
1003
        wire_altpriority_encoder20_w_lg_zero962w(0) <= NOT wire_altpriority_encoder20_zero;
1004
        loop61 : FOR i IN 0 TO 2 GENERATE
1005
                wire_altpriority_encoder20_w_lg_w_lg_zero964w965w(i) <= wire_altpriority_encoder20_w_lg_zero964w(i) OR wire_altpriority_encoder20_w_lg_w_lg_zero962w963w(i);
1006
        END GENERATE loop61;
1007
        altpriority_encoder20 :  CI_ALTFP_ADD_SUB_altpriority_encoder_be8
1008
          PORT MAP (
1009
                data => data(15 DOWNTO 8),
1010
                q => wire_altpriority_encoder20_q,
1011
                zero => wire_altpriority_encoder20_zero
1012
          );
1013
 
1014
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_ue9
1015
 
1016
--synthesis_resources = lut 5 
1017
 LIBRARY ieee;
1018
 USE ieee.std_logic_1164.all;
1019
 
1020
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_ou8 IS
1021
         PORT
1022
         (
1023
                 aclr   :       IN  STD_LOGIC := '0';
1024
                 clk_en :       IN  STD_LOGIC := '1';
1025
                 clock  :       IN  STD_LOGIC := '0';
1026
                 data   :       IN  STD_LOGIC_VECTOR (31 DOWNTO 0);
1027
                 q      :       OUT  STD_LOGIC_VECTOR (4 DOWNTO 0)
1028
         );
1029
 END CI_ALTFP_ADD_SUB_altpriority_encoder_ou8;
1030
 
1031
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_ou8 IS
1032
 
1033
         SIGNAL  wire_altpriority_encoder7_q    :       STD_LOGIC_VECTOR (3 DOWNTO 0);
1034
         SIGNAL  wire_altpriority_encoder8_w_lg_w_lg_zero896w897w       :       STD_LOGIC_VECTOR (3 DOWNTO 0);
1035
         SIGNAL  wire_altpriority_encoder8_w_lg_zero898w        :       STD_LOGIC_VECTOR (3 DOWNTO 0);
1036
         SIGNAL  wire_altpriority_encoder8_w_lg_zero896w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1037
         SIGNAL  wire_altpriority_encoder8_w_lg_w_lg_zero898w899w       :       STD_LOGIC_VECTOR (3 DOWNTO 0);
1038
         SIGNAL  wire_altpriority_encoder8_q    :       STD_LOGIC_VECTOR (3 DOWNTO 0);
1039
         SIGNAL  wire_altpriority_encoder8_zero :       STD_LOGIC;
1040
         SIGNAL  pipeline_q_dffe        :       STD_LOGIC_VECTOR(4 DOWNTO 0)
1041
         -- synopsys translate_off
1042
          := (OTHERS => '0')
1043
         -- synopsys translate_on
1044
         ;
1045
         SIGNAL  tmp_q_wire :   STD_LOGIC_VECTOR (4 DOWNTO 0);
1046
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_uv8
1047
         PORT
1048
         (
1049
                data    :       IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
1050
                q       :       OUT  STD_LOGIC_VECTOR(3 DOWNTO 0)
1051
         );
1052
         END COMPONENT;
1053
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_ue9
1054
         PORT
1055
         (
1056
                data    :       IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
1057
                q       :       OUT  STD_LOGIC_VECTOR(3 DOWNTO 0);
1058
                zero    :       OUT  STD_LOGIC
1059
         );
1060
         END COMPONENT;
1061
 BEGIN
1062
 
1063
        q <= pipeline_q_dffe;
1064
        tmp_q_wire <= ( wire_altpriority_encoder8_w_lg_zero896w & wire_altpriority_encoder8_w_lg_w_lg_zero898w899w);
1065
        altpriority_encoder7 :  CI_ALTFP_ADD_SUB_altpriority_encoder_uv8
1066
          PORT MAP (
1067
                data => data(15 DOWNTO 0),
1068
                q => wire_altpriority_encoder7_q
1069
          );
1070
        loop62 : FOR i IN 0 TO 3 GENERATE
1071
                wire_altpriority_encoder8_w_lg_w_lg_zero896w897w(i) <= wire_altpriority_encoder8_w_lg_zero896w(0) AND wire_altpriority_encoder8_q(i);
1072
        END GENERATE loop62;
1073
        loop63 : FOR i IN 0 TO 3 GENERATE
1074
                wire_altpriority_encoder8_w_lg_zero898w(i) <= wire_altpriority_encoder8_zero AND wire_altpriority_encoder7_q(i);
1075
        END GENERATE loop63;
1076
        wire_altpriority_encoder8_w_lg_zero896w(0) <= NOT wire_altpriority_encoder8_zero;
1077
        loop64 : FOR i IN 0 TO 3 GENERATE
1078
                wire_altpriority_encoder8_w_lg_w_lg_zero898w899w(i) <= wire_altpriority_encoder8_w_lg_zero898w(i) OR wire_altpriority_encoder8_w_lg_w_lg_zero896w897w(i);
1079
        END GENERATE loop64;
1080
        altpriority_encoder8 :  CI_ALTFP_ADD_SUB_altpriority_encoder_ue9
1081
          PORT MAP (
1082
                data => data(31 DOWNTO 16),
1083
                q => wire_altpriority_encoder8_q,
1084
                zero => wire_altpriority_encoder8_zero
1085
          );
1086
        PROCESS (clock, aclr)
1087
        BEGIN
1088
                IF (aclr = '1') THEN pipeline_q_dffe <= (OTHERS => '0');
1089
                ELSIF (clock = '1' AND clock'event) THEN
1090
                        IF (clk_en = '1') THEN pipeline_q_dffe <= tmp_q_wire;
1091
                        END IF;
1092
                END IF;
1093
        END PROCESS;
1094
 
1095
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_ou8
1096
 
1097
 
1098
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" PIPELINE=1 WIDTH=32 WIDTHAD=5 aclr clk_en clock data q
1099
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
1100
 
1101
 
1102
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q zero
1103
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
1104
 
1105
 
1106
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q zero
1107
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
1108
 
1109
 
1110
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q zero
1111
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
1112
 
1113
 
1114
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q zero
1115
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
1116
 
1117
--synthesis_resources = 
1118
 LIBRARY ieee;
1119
 USE ieee.std_logic_1164.all;
1120
 
1121
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_nh8 IS
1122
         PORT
1123
         (
1124
                 data   :       IN  STD_LOGIC_VECTOR (1 DOWNTO 0);
1125
                 q      :       OUT  STD_LOGIC_VECTOR (0 DOWNTO 0);
1126
                 zero   :       OUT  STD_LOGIC
1127
         );
1128
 END CI_ALTFP_ADD_SUB_altpriority_encoder_nh8;
1129
 
1130
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_nh8 IS
1131
 
1132
         SIGNAL  wire_altpriority_encoder27_w_lg_w_data_range1012w1014w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1133
         SIGNAL  wire_altpriority_encoder27_w_data_range1012w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1134
 BEGIN
1135
 
1136
        wire_altpriority_encoder27_w_lg_w_data_range1012w1014w(0) <= NOT wire_altpriority_encoder27_w_data_range1012w(0);
1137
        q <= ( wire_altpriority_encoder27_w_lg_w_data_range1012w1014w);
1138
        zero <= (NOT (data(0) OR data(1)));
1139
        wire_altpriority_encoder27_w_data_range1012w(0) <= data(0);
1140
 
1141
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_nh8
1142
 
1143
--synthesis_resources = 
1144
 LIBRARY ieee;
1145
 USE ieee.std_logic_1164.all;
1146
 
1147
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_qh8 IS
1148
         PORT
1149
         (
1150
                 data   :       IN  STD_LOGIC_VECTOR (3 DOWNTO 0);
1151
                 q      :       OUT  STD_LOGIC_VECTOR (1 DOWNTO 0);
1152
                 zero   :       OUT  STD_LOGIC
1153
         );
1154
 END CI_ALTFP_ADD_SUB_altpriority_encoder_qh8;
1155
 
1156
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_qh8 IS
1157
 
1158
         SIGNAL  wire_altpriority_encoder27_w_lg_w_lg_zero1004w1005w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1159
         SIGNAL  wire_altpriority_encoder27_w_lg_zero1006w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1160
         SIGNAL  wire_altpriority_encoder27_w_lg_zero1004w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1161
         SIGNAL  wire_altpriority_encoder27_w_lg_w_lg_zero1006w1007w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1162
         SIGNAL  wire_altpriority_encoder27_q   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1163
         SIGNAL  wire_altpriority_encoder27_zero        :       STD_LOGIC;
1164
         SIGNAL  wire_altpriority_encoder28_q   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1165
         SIGNAL  wire_altpriority_encoder28_zero        :       STD_LOGIC;
1166
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_nh8
1167
         PORT
1168
         (
1169
                data    :       IN  STD_LOGIC_VECTOR(1 DOWNTO 0);
1170
                q       :       OUT  STD_LOGIC_VECTOR(0 DOWNTO 0);
1171
                zero    :       OUT  STD_LOGIC
1172
         );
1173
         END COMPONENT;
1174
 BEGIN
1175
 
1176
        q <= ( wire_altpriority_encoder27_zero & wire_altpriority_encoder27_w_lg_w_lg_zero1006w1007w);
1177
        zero <= (wire_altpriority_encoder27_zero AND wire_altpriority_encoder28_zero);
1178
        wire_altpriority_encoder27_w_lg_w_lg_zero1004w1005w(0) <= wire_altpriority_encoder27_w_lg_zero1004w(0) AND wire_altpriority_encoder27_q(0);
1179
        wire_altpriority_encoder27_w_lg_zero1006w(0) <= wire_altpriority_encoder27_zero AND wire_altpriority_encoder28_q(0);
1180
        wire_altpriority_encoder27_w_lg_zero1004w(0) <= NOT wire_altpriority_encoder27_zero;
1181
        wire_altpriority_encoder27_w_lg_w_lg_zero1006w1007w(0) <= wire_altpriority_encoder27_w_lg_zero1006w(0) OR wire_altpriority_encoder27_w_lg_w_lg_zero1004w1005w(0);
1182
        altpriority_encoder27 :  CI_ALTFP_ADD_SUB_altpriority_encoder_nh8
1183
          PORT MAP (
1184
                data => data(1 DOWNTO 0),
1185
                q => wire_altpriority_encoder27_q,
1186
                zero => wire_altpriority_encoder27_zero
1187
          );
1188
        altpriority_encoder28 :  CI_ALTFP_ADD_SUB_altpriority_encoder_nh8
1189
          PORT MAP (
1190
                data => data(3 DOWNTO 2),
1191
                q => wire_altpriority_encoder28_q,
1192
                zero => wire_altpriority_encoder28_zero
1193
          );
1194
 
1195
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_qh8
1196
 
1197
--synthesis_resources = 
1198
 LIBRARY ieee;
1199
 USE ieee.std_logic_1164.all;
1200
 
1201
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_vh8 IS
1202
         PORT
1203
         (
1204
                 data   :       IN  STD_LOGIC_VECTOR (7 DOWNTO 0);
1205
                 q      :       OUT  STD_LOGIC_VECTOR (2 DOWNTO 0);
1206
                 zero   :       OUT  STD_LOGIC
1207
         );
1208
 END CI_ALTFP_ADD_SUB_altpriority_encoder_vh8;
1209
 
1210
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_vh8 IS
1211
 
1212
         SIGNAL  wire_altpriority_encoder25_w_lg_w_lg_zero994w995w      :       STD_LOGIC_VECTOR (1 DOWNTO 0);
1213
         SIGNAL  wire_altpriority_encoder25_w_lg_zero996w       :       STD_LOGIC_VECTOR (1 DOWNTO 0);
1214
         SIGNAL  wire_altpriority_encoder25_w_lg_zero994w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1215
         SIGNAL  wire_altpriority_encoder25_w_lg_w_lg_zero996w997w      :       STD_LOGIC_VECTOR (1 DOWNTO 0);
1216
         SIGNAL  wire_altpriority_encoder25_q   :       STD_LOGIC_VECTOR (1 DOWNTO 0);
1217
         SIGNAL  wire_altpriority_encoder25_zero        :       STD_LOGIC;
1218
         SIGNAL  wire_altpriority_encoder26_q   :       STD_LOGIC_VECTOR (1 DOWNTO 0);
1219
         SIGNAL  wire_altpriority_encoder26_zero        :       STD_LOGIC;
1220
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_qh8
1221
         PORT
1222
         (
1223
                data    :       IN  STD_LOGIC_VECTOR(3 DOWNTO 0);
1224
                q       :       OUT  STD_LOGIC_VECTOR(1 DOWNTO 0);
1225
                zero    :       OUT  STD_LOGIC
1226
         );
1227
         END COMPONENT;
1228
 BEGIN
1229
 
1230
        q <= ( wire_altpriority_encoder25_zero & wire_altpriority_encoder25_w_lg_w_lg_zero996w997w);
1231
        zero <= (wire_altpriority_encoder25_zero AND wire_altpriority_encoder26_zero);
1232
        loop65 : FOR i IN 0 TO 1 GENERATE
1233
                wire_altpriority_encoder25_w_lg_w_lg_zero994w995w(i) <= wire_altpriority_encoder25_w_lg_zero994w(0) AND wire_altpriority_encoder25_q(i);
1234
        END GENERATE loop65;
1235
        loop66 : FOR i IN 0 TO 1 GENERATE
1236
                wire_altpriority_encoder25_w_lg_zero996w(i) <= wire_altpriority_encoder25_zero AND wire_altpriority_encoder26_q(i);
1237
        END GENERATE loop66;
1238
        wire_altpriority_encoder25_w_lg_zero994w(0) <= NOT wire_altpriority_encoder25_zero;
1239
        loop67 : FOR i IN 0 TO 1 GENERATE
1240
                wire_altpriority_encoder25_w_lg_w_lg_zero996w997w(i) <= wire_altpriority_encoder25_w_lg_zero996w(i) OR wire_altpriority_encoder25_w_lg_w_lg_zero994w995w(i);
1241
        END GENERATE loop67;
1242
        altpriority_encoder25 :  CI_ALTFP_ADD_SUB_altpriority_encoder_qh8
1243
          PORT MAP (
1244
                data => data(3 DOWNTO 0),
1245
                q => wire_altpriority_encoder25_q,
1246
                zero => wire_altpriority_encoder25_zero
1247
          );
1248
        altpriority_encoder26 :  CI_ALTFP_ADD_SUB_altpriority_encoder_qh8
1249
          PORT MAP (
1250
                data => data(7 DOWNTO 4),
1251
                q => wire_altpriority_encoder26_q,
1252
                zero => wire_altpriority_encoder26_zero
1253
          );
1254
 
1255
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_vh8
1256
 
1257
--synthesis_resources = 
1258
 LIBRARY ieee;
1259
 USE ieee.std_logic_1164.all;
1260
 
1261
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_ii9 IS
1262
         PORT
1263
         (
1264
                 data   :       IN  STD_LOGIC_VECTOR (15 DOWNTO 0);
1265
                 q      :       OUT  STD_LOGIC_VECTOR (3 DOWNTO 0);
1266
                 zero   :       OUT  STD_LOGIC
1267
         );
1268
 END CI_ALTFP_ADD_SUB_altpriority_encoder_ii9;
1269
 
1270
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_ii9 IS
1271
 
1272
         SIGNAL  wire_altpriority_encoder23_w_lg_w_lg_zero984w985w      :       STD_LOGIC_VECTOR (2 DOWNTO 0);
1273
         SIGNAL  wire_altpriority_encoder23_w_lg_zero986w       :       STD_LOGIC_VECTOR (2 DOWNTO 0);
1274
         SIGNAL  wire_altpriority_encoder23_w_lg_zero984w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1275
         SIGNAL  wire_altpriority_encoder23_w_lg_w_lg_zero986w987w      :       STD_LOGIC_VECTOR (2 DOWNTO 0);
1276
         SIGNAL  wire_altpriority_encoder23_q   :       STD_LOGIC_VECTOR (2 DOWNTO 0);
1277
         SIGNAL  wire_altpriority_encoder23_zero        :       STD_LOGIC;
1278
         SIGNAL  wire_altpriority_encoder24_q   :       STD_LOGIC_VECTOR (2 DOWNTO 0);
1279
         SIGNAL  wire_altpriority_encoder24_zero        :       STD_LOGIC;
1280
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_vh8
1281
         PORT
1282
         (
1283
                data    :       IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
1284
                q       :       OUT  STD_LOGIC_VECTOR(2 DOWNTO 0);
1285
                zero    :       OUT  STD_LOGIC
1286
         );
1287
         END COMPONENT;
1288
 BEGIN
1289
 
1290
        q <= ( wire_altpriority_encoder23_zero & wire_altpriority_encoder23_w_lg_w_lg_zero986w987w);
1291
        zero <= (wire_altpriority_encoder23_zero AND wire_altpriority_encoder24_zero);
1292
        loop68 : FOR i IN 0 TO 2 GENERATE
1293
                wire_altpriority_encoder23_w_lg_w_lg_zero984w985w(i) <= wire_altpriority_encoder23_w_lg_zero984w(0) AND wire_altpriority_encoder23_q(i);
1294
        END GENERATE loop68;
1295
        loop69 : FOR i IN 0 TO 2 GENERATE
1296
                wire_altpriority_encoder23_w_lg_zero986w(i) <= wire_altpriority_encoder23_zero AND wire_altpriority_encoder24_q(i);
1297
        END GENERATE loop69;
1298
        wire_altpriority_encoder23_w_lg_zero984w(0) <= NOT wire_altpriority_encoder23_zero;
1299
        loop70 : FOR i IN 0 TO 2 GENERATE
1300
                wire_altpriority_encoder23_w_lg_w_lg_zero986w987w(i) <= wire_altpriority_encoder23_w_lg_zero986w(i) OR wire_altpriority_encoder23_w_lg_w_lg_zero984w985w(i);
1301
        END GENERATE loop70;
1302
        altpriority_encoder23 :  CI_ALTFP_ADD_SUB_altpriority_encoder_vh8
1303
          PORT MAP (
1304
                data => data(7 DOWNTO 0),
1305
                q => wire_altpriority_encoder23_q,
1306
                zero => wire_altpriority_encoder23_zero
1307
          );
1308
        altpriority_encoder24 :  CI_ALTFP_ADD_SUB_altpriority_encoder_vh8
1309
          PORT MAP (
1310
                data => data(15 DOWNTO 8),
1311
                q => wire_altpriority_encoder24_q,
1312
                zero => wire_altpriority_encoder24_zero
1313
          );
1314
 
1315
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_ii9
1316
 
1317
 
1318
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q
1319
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
1320
 
1321
 
1322
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q
1323
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
1324
 
1325
 
1326
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q
1327
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
1328
 
1329
 
1330
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q
1331
--VERSION_BEGIN 9.0SP2 cbx_altpriority_encoder 2008:05:19:11:01:44:SJ cbx_mgl 2009:02:26:16:06:21:SJ  VERSION_END
1332
 
1333
--synthesis_resources = 
1334
 LIBRARY ieee;
1335
 USE ieee.std_logic_1164.all;
1336
 
1337
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_n28 IS
1338
         PORT
1339
         (
1340
                 data   :       IN  STD_LOGIC_VECTOR (1 DOWNTO 0);
1341
                 q      :       OUT  STD_LOGIC_VECTOR (0 DOWNTO 0)
1342
         );
1343
 END CI_ALTFP_ADD_SUB_altpriority_encoder_n28;
1344
 
1345
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_n28 IS
1346
 
1347
         SIGNAL  wire_altpriority_encoder34_w_lg_w_data_range1046w1048w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1348
         SIGNAL  wire_altpriority_encoder34_w_data_range1046w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1349
 BEGIN
1350
 
1351
        wire_altpriority_encoder34_w_lg_w_data_range1046w1048w(0) <= NOT wire_altpriority_encoder34_w_data_range1046w(0);
1352
        q <= ( wire_altpriority_encoder34_w_lg_w_data_range1046w1048w);
1353
        wire_altpriority_encoder34_w_data_range1046w(0) <= data(0);
1354
 
1355
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_n28
1356
 
1357
--synthesis_resources = 
1358
 LIBRARY ieee;
1359
 USE ieee.std_logic_1164.all;
1360
 
1361
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_q28 IS
1362
         PORT
1363
         (
1364
                 data   :       IN  STD_LOGIC_VECTOR (3 DOWNTO 0);
1365
                 q      :       OUT  STD_LOGIC_VECTOR (1 DOWNTO 0)
1366
         );
1367
 END CI_ALTFP_ADD_SUB_altpriority_encoder_q28;
1368
 
1369
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_q28 IS
1370
 
1371
         SIGNAL  wire_altpriority_encoder33_w_lg_w_lg_zero1039w1040w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1372
         SIGNAL  wire_altpriority_encoder33_w_lg_zero1041w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1373
         SIGNAL  wire_altpriority_encoder33_w_lg_zero1039w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1374
         SIGNAL  wire_altpriority_encoder33_w_lg_w_lg_zero1041w1042w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1375
         SIGNAL  wire_altpriority_encoder33_q   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1376
         SIGNAL  wire_altpriority_encoder33_zero        :       STD_LOGIC;
1377
         SIGNAL  wire_altpriority_encoder34_q   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1378
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_nh8
1379
         PORT
1380
         (
1381
                data    :       IN  STD_LOGIC_VECTOR(1 DOWNTO 0);
1382
                q       :       OUT  STD_LOGIC_VECTOR(0 DOWNTO 0);
1383
                zero    :       OUT  STD_LOGIC
1384
         );
1385
         END COMPONENT;
1386
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_n28
1387
         PORT
1388
         (
1389
                data    :       IN  STD_LOGIC_VECTOR(1 DOWNTO 0);
1390
                q       :       OUT  STD_LOGIC_VECTOR(0 DOWNTO 0)
1391
         );
1392
         END COMPONENT;
1393
 BEGIN
1394
 
1395
        q <= ( wire_altpriority_encoder33_zero & wire_altpriority_encoder33_w_lg_w_lg_zero1041w1042w);
1396
        wire_altpriority_encoder33_w_lg_w_lg_zero1039w1040w(0) <= wire_altpriority_encoder33_w_lg_zero1039w(0) AND wire_altpriority_encoder33_q(0);
1397
        wire_altpriority_encoder33_w_lg_zero1041w(0) <= wire_altpriority_encoder33_zero AND wire_altpriority_encoder34_q(0);
1398
        wire_altpriority_encoder33_w_lg_zero1039w(0) <= NOT wire_altpriority_encoder33_zero;
1399
        wire_altpriority_encoder33_w_lg_w_lg_zero1041w1042w(0) <= wire_altpriority_encoder33_w_lg_zero1041w(0) OR wire_altpriority_encoder33_w_lg_w_lg_zero1039w1040w(0);
1400
        altpriority_encoder33 :  CI_ALTFP_ADD_SUB_altpriority_encoder_nh8
1401
          PORT MAP (
1402
                data => data(1 DOWNTO 0),
1403
                q => wire_altpriority_encoder33_q,
1404
                zero => wire_altpriority_encoder33_zero
1405
          );
1406
        altpriority_encoder34 :  CI_ALTFP_ADD_SUB_altpriority_encoder_n28
1407
          PORT MAP (
1408
                data => data(3 DOWNTO 2),
1409
                q => wire_altpriority_encoder34_q
1410
          );
1411
 
1412
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_q28
1413
 
1414
--synthesis_resources = 
1415
 LIBRARY ieee;
1416
 USE ieee.std_logic_1164.all;
1417
 
1418
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_v28 IS
1419
         PORT
1420
         (
1421
                 data   :       IN  STD_LOGIC_VECTOR (7 DOWNTO 0);
1422
                 q      :       OUT  STD_LOGIC_VECTOR (2 DOWNTO 0)
1423
         );
1424
 END CI_ALTFP_ADD_SUB_altpriority_encoder_v28;
1425
 
1426
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_v28 IS
1427
 
1428
         SIGNAL  wire_altpriority_encoder31_w_lg_w_lg_zero1030w1031w    :       STD_LOGIC_VECTOR (1 DOWNTO 0);
1429
         SIGNAL  wire_altpriority_encoder31_w_lg_zero1032w      :       STD_LOGIC_VECTOR (1 DOWNTO 0);
1430
         SIGNAL  wire_altpriority_encoder31_w_lg_zero1030w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1431
         SIGNAL  wire_altpriority_encoder31_w_lg_w_lg_zero1032w1033w    :       STD_LOGIC_VECTOR (1 DOWNTO 0);
1432
         SIGNAL  wire_altpriority_encoder31_q   :       STD_LOGIC_VECTOR (1 DOWNTO 0);
1433
         SIGNAL  wire_altpriority_encoder31_zero        :       STD_LOGIC;
1434
         SIGNAL  wire_altpriority_encoder32_q   :       STD_LOGIC_VECTOR (1 DOWNTO 0);
1435
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_qh8
1436
         PORT
1437
         (
1438
                data    :       IN  STD_LOGIC_VECTOR(3 DOWNTO 0);
1439
                q       :       OUT  STD_LOGIC_VECTOR(1 DOWNTO 0);
1440
                zero    :       OUT  STD_LOGIC
1441
         );
1442
         END COMPONENT;
1443
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_q28
1444
         PORT
1445
         (
1446
                data    :       IN  STD_LOGIC_VECTOR(3 DOWNTO 0);
1447
                q       :       OUT  STD_LOGIC_VECTOR(1 DOWNTO 0)
1448
         );
1449
         END COMPONENT;
1450
 BEGIN
1451
 
1452
        q <= ( wire_altpriority_encoder31_zero & wire_altpriority_encoder31_w_lg_w_lg_zero1032w1033w);
1453
        loop71 : FOR i IN 0 TO 1 GENERATE
1454
                wire_altpriority_encoder31_w_lg_w_lg_zero1030w1031w(i) <= wire_altpriority_encoder31_w_lg_zero1030w(0) AND wire_altpriority_encoder31_q(i);
1455
        END GENERATE loop71;
1456
        loop72 : FOR i IN 0 TO 1 GENERATE
1457
                wire_altpriority_encoder31_w_lg_zero1032w(i) <= wire_altpriority_encoder31_zero AND wire_altpriority_encoder32_q(i);
1458
        END GENERATE loop72;
1459
        wire_altpriority_encoder31_w_lg_zero1030w(0) <= NOT wire_altpriority_encoder31_zero;
1460
        loop73 : FOR i IN 0 TO 1 GENERATE
1461
                wire_altpriority_encoder31_w_lg_w_lg_zero1032w1033w(i) <= wire_altpriority_encoder31_w_lg_zero1032w(i) OR wire_altpriority_encoder31_w_lg_w_lg_zero1030w1031w(i);
1462
        END GENERATE loop73;
1463
        altpriority_encoder31 :  CI_ALTFP_ADD_SUB_altpriority_encoder_qh8
1464
          PORT MAP (
1465
                data => data(3 DOWNTO 0),
1466
                q => wire_altpriority_encoder31_q,
1467
                zero => wire_altpriority_encoder31_zero
1468
          );
1469
        altpriority_encoder32 :  CI_ALTFP_ADD_SUB_altpriority_encoder_q28
1470
          PORT MAP (
1471
                data => data(7 DOWNTO 4),
1472
                q => wire_altpriority_encoder32_q
1473
          );
1474
 
1475
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_v28
1476
 
1477
--synthesis_resources = 
1478
 LIBRARY ieee;
1479
 USE ieee.std_logic_1164.all;
1480
 
1481
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_i39 IS
1482
         PORT
1483
         (
1484
                 data   :       IN  STD_LOGIC_VECTOR (15 DOWNTO 0);
1485
                 q      :       OUT  STD_LOGIC_VECTOR (3 DOWNTO 0)
1486
         );
1487
 END CI_ALTFP_ADD_SUB_altpriority_encoder_i39;
1488
 
1489
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_i39 IS
1490
 
1491
         SIGNAL  wire_altpriority_encoder29_w_lg_w_lg_zero1021w1022w    :       STD_LOGIC_VECTOR (2 DOWNTO 0);
1492
         SIGNAL  wire_altpriority_encoder29_w_lg_zero1023w      :       STD_LOGIC_VECTOR (2 DOWNTO 0);
1493
         SIGNAL  wire_altpriority_encoder29_w_lg_zero1021w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1494
         SIGNAL  wire_altpriority_encoder29_w_lg_w_lg_zero1023w1024w    :       STD_LOGIC_VECTOR (2 DOWNTO 0);
1495
         SIGNAL  wire_altpriority_encoder29_q   :       STD_LOGIC_VECTOR (2 DOWNTO 0);
1496
         SIGNAL  wire_altpriority_encoder29_zero        :       STD_LOGIC;
1497
         SIGNAL  wire_altpriority_encoder30_q   :       STD_LOGIC_VECTOR (2 DOWNTO 0);
1498
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_vh8
1499
         PORT
1500
         (
1501
                data    :       IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
1502
                q       :       OUT  STD_LOGIC_VECTOR(2 DOWNTO 0);
1503
                zero    :       OUT  STD_LOGIC
1504
         );
1505
         END COMPONENT;
1506
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_v28
1507
         PORT
1508
         (
1509
                data    :       IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
1510
                q       :       OUT  STD_LOGIC_VECTOR(2 DOWNTO 0)
1511
         );
1512
         END COMPONENT;
1513
 BEGIN
1514
 
1515
        q <= ( wire_altpriority_encoder29_zero & wire_altpriority_encoder29_w_lg_w_lg_zero1023w1024w);
1516
        loop74 : FOR i IN 0 TO 2 GENERATE
1517
                wire_altpriority_encoder29_w_lg_w_lg_zero1021w1022w(i) <= wire_altpriority_encoder29_w_lg_zero1021w(0) AND wire_altpriority_encoder29_q(i);
1518
        END GENERATE loop74;
1519
        loop75 : FOR i IN 0 TO 2 GENERATE
1520
                wire_altpriority_encoder29_w_lg_zero1023w(i) <= wire_altpriority_encoder29_zero AND wire_altpriority_encoder30_q(i);
1521
        END GENERATE loop75;
1522
        wire_altpriority_encoder29_w_lg_zero1021w(0) <= NOT wire_altpriority_encoder29_zero;
1523
        loop76 : FOR i IN 0 TO 2 GENERATE
1524
                wire_altpriority_encoder29_w_lg_w_lg_zero1023w1024w(i) <= wire_altpriority_encoder29_w_lg_zero1023w(i) OR wire_altpriority_encoder29_w_lg_w_lg_zero1021w1022w(i);
1525
        END GENERATE loop76;
1526
        altpriority_encoder29 :  CI_ALTFP_ADD_SUB_altpriority_encoder_vh8
1527
          PORT MAP (
1528
                data => data(7 DOWNTO 0),
1529
                q => wire_altpriority_encoder29_q,
1530
                zero => wire_altpriority_encoder29_zero
1531
          );
1532
        altpriority_encoder30 :  CI_ALTFP_ADD_SUB_altpriority_encoder_v28
1533
          PORT MAP (
1534
                data => data(15 DOWNTO 8),
1535
                q => wire_altpriority_encoder30_q
1536
          );
1537
 
1538
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_i39
1539
 
1540
--synthesis_resources = lut 5 
1541
 LIBRARY ieee;
1542
 USE ieee.std_logic_1164.all;
1543
 
1544
 ENTITY  CI_ALTFP_ADD_SUB_altpriority_encoder_cna IS
1545
         PORT
1546
         (
1547
                 aclr   :       IN  STD_LOGIC := '0';
1548
                 clk_en :       IN  STD_LOGIC := '1';
1549
                 clock  :       IN  STD_LOGIC := '0';
1550
                 data   :       IN  STD_LOGIC_VECTOR (31 DOWNTO 0);
1551
                 q      :       OUT  STD_LOGIC_VECTOR (4 DOWNTO 0)
1552
         );
1553
 END CI_ALTFP_ADD_SUB_altpriority_encoder_cna;
1554
 
1555
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altpriority_encoder_cna IS
1556
 
1557
         SIGNAL  wire_altpriority_encoder21_w_lg_w_lg_zero972w973w      :       STD_LOGIC_VECTOR (3 DOWNTO 0);
1558
         SIGNAL  wire_altpriority_encoder21_w_lg_zero974w       :       STD_LOGIC_VECTOR (3 DOWNTO 0);
1559
         SIGNAL  wire_altpriority_encoder21_w_lg_zero972w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
1560
         SIGNAL  wire_altpriority_encoder21_w_lg_w_lg_zero974w975w      :       STD_LOGIC_VECTOR (3 DOWNTO 0);
1561
         SIGNAL  wire_altpriority_encoder21_q   :       STD_LOGIC_VECTOR (3 DOWNTO 0);
1562
         SIGNAL  wire_altpriority_encoder21_zero        :       STD_LOGIC;
1563
         SIGNAL  wire_altpriority_encoder22_q   :       STD_LOGIC_VECTOR (3 DOWNTO 0);
1564
         SIGNAL  pipeline_q_dffe        :       STD_LOGIC_VECTOR(4 DOWNTO 0)
1565
         -- synopsys translate_off
1566
          := (OTHERS => '0')
1567
         -- synopsys translate_on
1568
         ;
1569
         SIGNAL  wire_trailing_zeros_cnt_w_lg_tmp_q_wire980w    :       STD_LOGIC_VECTOR (4 DOWNTO 0);
1570
         SIGNAL  tmp_q_wire :   STD_LOGIC_VECTOR (4 DOWNTO 0);
1571
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_ii9
1572
         PORT
1573
         (
1574
                data    :       IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
1575
                q       :       OUT  STD_LOGIC_VECTOR(3 DOWNTO 0);
1576
                zero    :       OUT  STD_LOGIC
1577
         );
1578
         END COMPONENT;
1579
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_i39
1580
         PORT
1581
         (
1582
                data    :       IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
1583
                q       :       OUT  STD_LOGIC_VECTOR(3 DOWNTO 0)
1584
         );
1585
         END COMPONENT;
1586
 BEGIN
1587
 
1588
        loop77 : FOR i IN 0 TO 4 GENERATE
1589
                wire_trailing_zeros_cnt_w_lg_tmp_q_wire980w(i) <= NOT tmp_q_wire(i);
1590
        END GENERATE loop77;
1591
        q <= (NOT pipeline_q_dffe);
1592
        tmp_q_wire <= ( wire_altpriority_encoder21_zero & wire_altpriority_encoder21_w_lg_w_lg_zero974w975w);
1593
        loop78 : FOR i IN 0 TO 3 GENERATE
1594
                wire_altpriority_encoder21_w_lg_w_lg_zero972w973w(i) <= wire_altpriority_encoder21_w_lg_zero972w(0) AND wire_altpriority_encoder21_q(i);
1595
        END GENERATE loop78;
1596
        loop79 : FOR i IN 0 TO 3 GENERATE
1597
                wire_altpriority_encoder21_w_lg_zero974w(i) <= wire_altpriority_encoder21_zero AND wire_altpriority_encoder22_q(i);
1598
        END GENERATE loop79;
1599
        wire_altpriority_encoder21_w_lg_zero972w(0) <= NOT wire_altpriority_encoder21_zero;
1600
        loop80 : FOR i IN 0 TO 3 GENERATE
1601
                wire_altpriority_encoder21_w_lg_w_lg_zero974w975w(i) <= wire_altpriority_encoder21_w_lg_zero974w(i) OR wire_altpriority_encoder21_w_lg_w_lg_zero972w973w(i);
1602
        END GENERATE loop80;
1603
        altpriority_encoder21 :  CI_ALTFP_ADD_SUB_altpriority_encoder_ii9
1604
          PORT MAP (
1605
                data => data(15 DOWNTO 0),
1606
                q => wire_altpriority_encoder21_q,
1607
                zero => wire_altpriority_encoder21_zero
1608
          );
1609
        altpriority_encoder22 :  CI_ALTFP_ADD_SUB_altpriority_encoder_i39
1610
          PORT MAP (
1611
                data => data(31 DOWNTO 16),
1612
                q => wire_altpriority_encoder22_q
1613
          );
1614
        PROCESS (clock, aclr)
1615
        BEGIN
1616
                IF (aclr = '1') THEN pipeline_q_dffe <= (OTHERS => '0');
1617
                ELSIF (clock = '1' AND clock'event) THEN
1618
                        IF (clk_en = '1') THEN pipeline_q_dffe <= wire_trailing_zeros_cnt_w_lg_tmp_q_wire980w;
1619
                        END IF;
1620
                END IF;
1621
        END PROCESS;
1622
 
1623
 END RTL; --CI_ALTFP_ADD_SUB_altpriority_encoder_cna
1624
 
1625
 LIBRARY lpm;
1626
 USE lpm.all;
1627
 
1628
--synthesis_resources = lpm_add_sub 14 lpm_compare 1 lut 662 
1629
 LIBRARY ieee;
1630
 USE ieee.std_logic_1164.all;
1631
 
1632
 ENTITY  CI_ALTFP_ADD_SUB_altfp_add_sub_4km IS
1633
         PORT
1634
         (
1635
                 aclr   :       IN  STD_LOGIC := '0';
1636
                 clk_en :       IN  STD_LOGIC := '1';
1637
                 clock  :       IN  STD_LOGIC;
1638
                 dataa  :       IN  STD_LOGIC_VECTOR (31 DOWNTO 0);
1639
                 datab  :       IN  STD_LOGIC_VECTOR (31 DOWNTO 0);
1640
                 nan    :       OUT  STD_LOGIC;
1641
                 overflow       :       OUT  STD_LOGIC;
1642
                 result :       OUT  STD_LOGIC_VECTOR (31 DOWNTO 0);
1643
                 underflow      :       OUT  STD_LOGIC;
1644
                 zero   :       OUT  STD_LOGIC
1645
         );
1646
 END CI_ALTFP_ADD_SUB_altfp_add_sub_4km;
1647
 
1648
 ARCHITECTURE RTL OF CI_ALTFP_ADD_SUB_altfp_add_sub_4km IS
1649
 
1650
         SIGNAL  wire_lbarrel_shift_result      :       STD_LOGIC_VECTOR (25 DOWNTO 0);
1651
         SIGNAL  wire_rbarrel_shift_data        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
1652
         SIGNAL  wire_rbarrel_shift_result      :       STD_LOGIC_VECTOR (25 DOWNTO 0);
1653
         SIGNAL  wire_leading_zeroes_cnt_data   :       STD_LOGIC_VECTOR (31 DOWNTO 0);
1654
         SIGNAL  wire_leading_zeroes_cnt_q      :       STD_LOGIC_VECTOR (4 DOWNTO 0);
1655
         SIGNAL  wire_trailing_zeros_cnt_data   :       STD_LOGIC_VECTOR (31 DOWNTO 0);
1656
         SIGNAL  wire_trailing_zeros_cnt_q      :       STD_LOGIC_VECTOR (4 DOWNTO 0);
1657
         SIGNAL  aligned_dataa_exp_dffe12       :       STD_LOGIC_VECTOR(8 DOWNTO 0)
1658
         -- synopsys translate_off
1659
          := (OTHERS => '0')
1660
         -- synopsys translate_on
1661
         ;
1662
         SIGNAL  aligned_dataa_exp_dffe13       :       STD_LOGIC_VECTOR(8 DOWNTO 0)
1663
         -- synopsys translate_off
1664
          := (OTHERS => '0')
1665
         -- synopsys translate_on
1666
         ;
1667
         SIGNAL  aligned_dataa_exp_dffe14       :       STD_LOGIC_VECTOR(8 DOWNTO 0)
1668
         -- synopsys translate_off
1669
          := (OTHERS => '0')
1670
         -- synopsys translate_on
1671
         ;
1672
         SIGNAL  aligned_dataa_man_dffe12       :       STD_LOGIC_VECTOR(23 DOWNTO 0)
1673
         -- synopsys translate_off
1674
          := (OTHERS => '0')
1675
         -- synopsys translate_on
1676
         ;
1677
         SIGNAL  aligned_dataa_man_dffe13       :       STD_LOGIC_VECTOR(23 DOWNTO 0)
1678
         -- synopsys translate_off
1679
          := (OTHERS => '0')
1680
         -- synopsys translate_on
1681
         ;
1682
         SIGNAL  aligned_dataa_man_dffe14       :       STD_LOGIC_VECTOR(23 DOWNTO 0)
1683
         -- synopsys translate_off
1684
          := (OTHERS => '0')
1685
         -- synopsys translate_on
1686
         ;
1687
         SIGNAL  aligned_dataa_sign_dffe12      :       STD_LOGIC
1688
         -- synopsys translate_off
1689
          := '0'
1690
         -- synopsys translate_on
1691
         ;
1692
         SIGNAL  aligned_dataa_sign_dffe13      :       STD_LOGIC
1693
         -- synopsys translate_off
1694
          := '0'
1695
         -- synopsys translate_on
1696
         ;
1697
         SIGNAL  aligned_dataa_sign_dffe14      :       STD_LOGIC
1698
         -- synopsys translate_off
1699
          := '0'
1700
         -- synopsys translate_on
1701
         ;
1702
         SIGNAL  aligned_datab_exp_dffe12       :       STD_LOGIC_VECTOR(8 DOWNTO 0)
1703
         -- synopsys translate_off
1704
          := (OTHERS => '0')
1705
         -- synopsys translate_on
1706
         ;
1707
         SIGNAL  aligned_datab_exp_dffe13       :       STD_LOGIC_VECTOR(8 DOWNTO 0)
1708
         -- synopsys translate_off
1709
          := (OTHERS => '0')
1710
         -- synopsys translate_on
1711
         ;
1712
         SIGNAL  aligned_datab_exp_dffe14       :       STD_LOGIC_VECTOR(8 DOWNTO 0)
1713
         -- synopsys translate_off
1714
          := (OTHERS => '0')
1715
         -- synopsys translate_on
1716
         ;
1717
         SIGNAL  aligned_datab_man_dffe12       :       STD_LOGIC_VECTOR(23 DOWNTO 0)
1718
         -- synopsys translate_off
1719
          := (OTHERS => '0')
1720
         -- synopsys translate_on
1721
         ;
1722
         SIGNAL  aligned_datab_man_dffe13       :       STD_LOGIC_VECTOR(23 DOWNTO 0)
1723
         -- synopsys translate_off
1724
          := (OTHERS => '0')
1725
         -- synopsys translate_on
1726
         ;
1727
         SIGNAL  aligned_datab_man_dffe14       :       STD_LOGIC_VECTOR(23 DOWNTO 0)
1728
         -- synopsys translate_off
1729
          := (OTHERS => '0')
1730
         -- synopsys translate_on
1731
         ;
1732
         SIGNAL  aligned_datab_sign_dffe12      :       STD_LOGIC
1733
         -- synopsys translate_off
1734
          := '0'
1735
         -- synopsys translate_on
1736
         ;
1737
         SIGNAL  aligned_datab_sign_dffe13      :       STD_LOGIC
1738
         -- synopsys translate_off
1739
          := '0'
1740
         -- synopsys translate_on
1741
         ;
1742
         SIGNAL  aligned_datab_sign_dffe14      :       STD_LOGIC
1743
         -- synopsys translate_off
1744
          := '0'
1745
         -- synopsys translate_on
1746
         ;
1747
         SIGNAL  both_inputs_are_infinite_dffe1 :       STD_LOGIC
1748
         -- synopsys translate_off
1749
          := '0'
1750
         -- synopsys translate_on
1751
         ;
1752
         SIGNAL  data_exp_dffe1 :       STD_LOGIC_VECTOR(7 DOWNTO 0)
1753
         -- synopsys translate_off
1754
          := (OTHERS => '0')
1755
         -- synopsys translate_on
1756
         ;
1757
         SIGNAL  dataa_man_dffe1        :       STD_LOGIC_VECTOR(25 DOWNTO 0)
1758
         -- synopsys translate_off
1759
          := (OTHERS => '0')
1760
         -- synopsys translate_on
1761
         ;
1762
         SIGNAL  dataa_sign_dffe1       :       STD_LOGIC
1763
         -- synopsys translate_off
1764
          := '0'
1765
         -- synopsys translate_on
1766
         ;
1767
         SIGNAL  datab_man_dffe1        :       STD_LOGIC_VECTOR(25 DOWNTO 0)
1768
         -- synopsys translate_off
1769
          := (OTHERS => '0')
1770
         -- synopsys translate_on
1771
         ;
1772
         SIGNAL  datab_sign_dffe1       :       STD_LOGIC
1773
         -- synopsys translate_off
1774
          := '0'
1775
         -- synopsys translate_on
1776
         ;
1777
         SIGNAL  denormal_res_dffe3     :       STD_LOGIC
1778
         -- synopsys translate_off
1779
          := '0'
1780
         -- synopsys translate_on
1781
         ;
1782
         SIGNAL  denormal_res_dffe4     :       STD_LOGIC
1783
         -- synopsys translate_off
1784
          := '0'
1785
         -- synopsys translate_on
1786
         ;
1787
         SIGNAL  denormal_res_dffe41    :       STD_LOGIC
1788
         -- synopsys translate_off
1789
          := '0'
1790
         -- synopsys translate_on
1791
         ;
1792
         SIGNAL  exp_adj_dffe21 :       STD_LOGIC_VECTOR(1 DOWNTO 0)
1793
         -- synopsys translate_off
1794
          := (OTHERS => '0')
1795
         -- synopsys translate_on
1796
         ;
1797
         SIGNAL  exp_adj_dffe23 :       STD_LOGIC_VECTOR(1 DOWNTO 0)
1798
         -- synopsys translate_off
1799
          := (OTHERS => '0')
1800
         -- synopsys translate_on
1801
         ;
1802
         SIGNAL  exp_amb_mux_dffe13     :       STD_LOGIC
1803
         -- synopsys translate_off
1804
          := '0'
1805
         -- synopsys translate_on
1806
         ;
1807
         SIGNAL  exp_amb_mux_dffe14     :       STD_LOGIC
1808
         -- synopsys translate_off
1809
          := '0'
1810
         -- synopsys translate_on
1811
         ;
1812
         SIGNAL  exp_intermediate_res_dffe41    :       STD_LOGIC_VECTOR(7 DOWNTO 0)
1813
         -- synopsys translate_off
1814
          := (OTHERS => '0')
1815
         -- synopsys translate_on
1816
         ;
1817
         SIGNAL  exp_out_dffe5  :       STD_LOGIC_VECTOR(7 DOWNTO 0)
1818
         -- synopsys translate_off
1819
          := (OTHERS => '0')
1820
         -- synopsys translate_on
1821
         ;
1822
         SIGNAL  exp_res_dffe2  :       STD_LOGIC_VECTOR(7 DOWNTO 0)
1823
         -- synopsys translate_off
1824
          := (OTHERS => '0')
1825
         -- synopsys translate_on
1826
         ;
1827
         SIGNAL  exp_res_dffe21 :       STD_LOGIC_VECTOR(7 DOWNTO 0)
1828
         -- synopsys translate_off
1829
          := (OTHERS => '0')
1830
         -- synopsys translate_on
1831
         ;
1832
         SIGNAL  exp_res_dffe23 :       STD_LOGIC_VECTOR(7 DOWNTO 0)
1833
         -- synopsys translate_off
1834
          := (OTHERS => '0')
1835
         -- synopsys translate_on
1836
         ;
1837
         SIGNAL  exp_res_dffe3  :       STD_LOGIC_VECTOR(7 DOWNTO 0)
1838
         -- synopsys translate_off
1839
          := (OTHERS => '0')
1840
         -- synopsys translate_on
1841
         ;
1842
         SIGNAL  exp_res_dffe4  :       STD_LOGIC_VECTOR(7 DOWNTO 0)
1843
         -- synopsys translate_off
1844
          := (OTHERS => '0')
1845
         -- synopsys translate_on
1846
         ;
1847
         SIGNAL  infinite_output_sign_dffe1     :       STD_LOGIC
1848
         -- synopsys translate_off
1849
          := '0'
1850
         -- synopsys translate_on
1851
         ;
1852
         SIGNAL  infinite_output_sign_dffe2     :       STD_LOGIC
1853
         -- synopsys translate_off
1854
          := '0'
1855
         -- synopsys translate_on
1856
         ;
1857
         SIGNAL  infinite_output_sign_dffe21    :       STD_LOGIC
1858
         -- synopsys translate_off
1859
          := '0'
1860
         -- synopsys translate_on
1861
         ;
1862
         SIGNAL  infinite_output_sign_dffe23    :       STD_LOGIC
1863
         -- synopsys translate_off
1864
          := '0'
1865
         -- synopsys translate_on
1866
         ;
1867
         SIGNAL  infinite_output_sign_dffe3     :       STD_LOGIC
1868
         -- synopsys translate_off
1869
          := '0'
1870
         -- synopsys translate_on
1871
         ;
1872
         SIGNAL  infinite_output_sign_dffe31    :       STD_LOGIC
1873
         -- synopsys translate_off
1874
          := '0'
1875
         -- synopsys translate_on
1876
         ;
1877
         SIGNAL  infinite_output_sign_dffe4     :       STD_LOGIC
1878
         -- synopsys translate_off
1879
          := '0'
1880
         -- synopsys translate_on
1881
         ;
1882
         SIGNAL  infinite_output_sign_dffe41    :       STD_LOGIC
1883
         -- synopsys translate_off
1884
          := '0'
1885
         -- synopsys translate_on
1886
         ;
1887
         SIGNAL  infinite_res_dffe3     :       STD_LOGIC
1888
         -- synopsys translate_off
1889
          := '0'
1890
         -- synopsys translate_on
1891
         ;
1892
         SIGNAL  infinite_res_dffe4     :       STD_LOGIC
1893
         -- synopsys translate_off
1894
          := '0'
1895
         -- synopsys translate_on
1896
         ;
1897
         SIGNAL  infinite_res_dffe41    :       STD_LOGIC
1898
         -- synopsys translate_off
1899
          := '0'
1900
         -- synopsys translate_on
1901
         ;
1902
         SIGNAL  infinity_magnitude_sub_dffe2   :       STD_LOGIC
1903
         -- synopsys translate_off
1904
          := '0'
1905
         -- synopsys translate_on
1906
         ;
1907
         SIGNAL  infinity_magnitude_sub_dffe21  :       STD_LOGIC
1908
         -- synopsys translate_off
1909
          := '0'
1910
         -- synopsys translate_on
1911
         ;
1912
         SIGNAL  infinity_magnitude_sub_dffe23  :       STD_LOGIC
1913
         -- synopsys translate_off
1914
          := '0'
1915
         -- synopsys translate_on
1916
         ;
1917
         SIGNAL  infinity_magnitude_sub_dffe3   :       STD_LOGIC
1918
         -- synopsys translate_off
1919
          := '0'
1920
         -- synopsys translate_on
1921
         ;
1922
         SIGNAL  infinity_magnitude_sub_dffe31  :       STD_LOGIC
1923
         -- synopsys translate_off
1924
          := '0'
1925
         -- synopsys translate_on
1926
         ;
1927
         SIGNAL  infinity_magnitude_sub_dffe4   :       STD_LOGIC
1928
         -- synopsys translate_off
1929
          := '0'
1930
         -- synopsys translate_on
1931
         ;
1932
         SIGNAL  infinity_magnitude_sub_dffe41  :       STD_LOGIC
1933
         -- synopsys translate_off
1934
          := '0'
1935
         -- synopsys translate_on
1936
         ;
1937
         SIGNAL  input_dataa_infinite_dffe12    :       STD_LOGIC
1938
         -- synopsys translate_off
1939
          := '0'
1940
         -- synopsys translate_on
1941
         ;
1942
         SIGNAL  input_dataa_infinite_dffe13    :       STD_LOGIC
1943
         -- synopsys translate_off
1944
          := '0'
1945
         -- synopsys translate_on
1946
         ;
1947
         SIGNAL  input_dataa_infinite_dffe14    :       STD_LOGIC
1948
         -- synopsys translate_off
1949
          := '0'
1950
         -- synopsys translate_on
1951
         ;
1952
         SIGNAL  input_dataa_nan_dffe12 :       STD_LOGIC
1953
         -- synopsys translate_off
1954
          := '0'
1955
         -- synopsys translate_on
1956
         ;
1957
         SIGNAL  input_datab_infinite_dffe12    :       STD_LOGIC
1958
         -- synopsys translate_off
1959
          := '0'
1960
         -- synopsys translate_on
1961
         ;
1962
         SIGNAL  input_datab_infinite_dffe13    :       STD_LOGIC
1963
         -- synopsys translate_off
1964
          := '0'
1965
         -- synopsys translate_on
1966
         ;
1967
         SIGNAL  input_datab_infinite_dffe14    :       STD_LOGIC
1968
         -- synopsys translate_off
1969
          := '0'
1970
         -- synopsys translate_on
1971
         ;
1972
         SIGNAL  input_datab_nan_dffe12 :       STD_LOGIC
1973
         -- synopsys translate_off
1974
          := '0'
1975
         -- synopsys translate_on
1976
         ;
1977
         SIGNAL  input_is_infinite_dffe1        :       STD_LOGIC
1978
         -- synopsys translate_off
1979
          := '0'
1980
         -- synopsys translate_on
1981
         ;
1982
         SIGNAL  input_is_infinite_dffe2        :       STD_LOGIC
1983
         -- synopsys translate_off
1984
          := '0'
1985
         -- synopsys translate_on
1986
         ;
1987
         SIGNAL  input_is_infinite_dffe21       :       STD_LOGIC
1988
         -- synopsys translate_off
1989
          := '0'
1990
         -- synopsys translate_on
1991
         ;
1992
         SIGNAL  input_is_infinite_dffe23       :       STD_LOGIC
1993
         -- synopsys translate_off
1994
          := '0'
1995
         -- synopsys translate_on
1996
         ;
1997
         SIGNAL  input_is_infinite_dffe3        :       STD_LOGIC
1998
         -- synopsys translate_off
1999
          := '0'
2000
         -- synopsys translate_on
2001
         ;
2002
         SIGNAL  input_is_infinite_dffe31       :       STD_LOGIC
2003
         -- synopsys translate_off
2004
          := '0'
2005
         -- synopsys translate_on
2006
         ;
2007
         SIGNAL  input_is_infinite_dffe4        :       STD_LOGIC
2008
         -- synopsys translate_off
2009
          := '0'
2010
         -- synopsys translate_on
2011
         ;
2012
         SIGNAL  input_is_infinite_dffe41       :       STD_LOGIC
2013
         -- synopsys translate_off
2014
          := '0'
2015
         -- synopsys translate_on
2016
         ;
2017
         SIGNAL  input_is_nan_dffe1     :       STD_LOGIC
2018
         -- synopsys translate_off
2019
          := '0'
2020
         -- synopsys translate_on
2021
         ;
2022
         SIGNAL  input_is_nan_dffe13    :       STD_LOGIC
2023
         -- synopsys translate_off
2024
          := '0'
2025
         -- synopsys translate_on
2026
         ;
2027
         SIGNAL  input_is_nan_dffe14    :       STD_LOGIC
2028
         -- synopsys translate_off
2029
          := '0'
2030
         -- synopsys translate_on
2031
         ;
2032
         SIGNAL  input_is_nan_dffe2     :       STD_LOGIC
2033
         -- synopsys translate_off
2034
          := '0'
2035
         -- synopsys translate_on
2036
         ;
2037
         SIGNAL  input_is_nan_dffe21    :       STD_LOGIC
2038
         -- synopsys translate_off
2039
          := '0'
2040
         -- synopsys translate_on
2041
         ;
2042
         SIGNAL  input_is_nan_dffe23    :       STD_LOGIC
2043
         -- synopsys translate_off
2044
          := '0'
2045
         -- synopsys translate_on
2046
         ;
2047
         SIGNAL  input_is_nan_dffe3     :       STD_LOGIC
2048
         -- synopsys translate_off
2049
          := '0'
2050
         -- synopsys translate_on
2051
         ;
2052
         SIGNAL  input_is_nan_dffe31    :       STD_LOGIC
2053
         -- synopsys translate_off
2054
          := '0'
2055
         -- synopsys translate_on
2056
         ;
2057
         SIGNAL  input_is_nan_dffe4     :       STD_LOGIC
2058
         -- synopsys translate_off
2059
          := '0'
2060
         -- synopsys translate_on
2061
         ;
2062
         SIGNAL  input_is_nan_dffe41    :       STD_LOGIC
2063
         -- synopsys translate_off
2064
          := '0'
2065
         -- synopsys translate_on
2066
         ;
2067
         SIGNAL  man_add_sub_res_mag_dffe21     :       STD_LOGIC_VECTOR(25 DOWNTO 0)
2068
         -- synopsys translate_off
2069
          := (OTHERS => '0')
2070
         -- synopsys translate_on
2071
         ;
2072
         SIGNAL  man_add_sub_res_mag_dffe23     :       STD_LOGIC_VECTOR(25 DOWNTO 0)
2073
         -- synopsys translate_off
2074
          := (OTHERS => '0')
2075
         -- synopsys translate_on
2076
         ;
2077
         SIGNAL  man_add_sub_res_sign_dffe21    :       STD_LOGIC
2078
         -- synopsys translate_off
2079
          := '0'
2080
         -- synopsys translate_on
2081
         ;
2082
         SIGNAL  man_add_sub_res_sign_dffe23    :       STD_LOGIC
2083
         -- synopsys translate_off
2084
          := '0'
2085
         -- synopsys translate_on
2086
         ;
2087
         SIGNAL  man_dffe31     :       STD_LOGIC_VECTOR(25 DOWNTO 0)
2088
         -- synopsys translate_off
2089
          := (OTHERS => '0')
2090
         -- synopsys translate_on
2091
         ;
2092
         SIGNAL  man_leading_zeros_dffe31       :       STD_LOGIC_VECTOR(4 DOWNTO 0)
2093
         -- synopsys translate_off
2094
          := (OTHERS => '0')
2095
         -- synopsys translate_on
2096
         ;
2097
         SIGNAL  man_out_dffe5  :       STD_LOGIC_VECTOR(22 DOWNTO 0)
2098
         -- synopsys translate_off
2099
          := (OTHERS => '0')
2100
         -- synopsys translate_on
2101
         ;
2102
         SIGNAL  man_res_dffe4  :       STD_LOGIC_VECTOR(22 DOWNTO 0)
2103
         -- synopsys translate_off
2104
          := (OTHERS => '0')
2105
         -- synopsys translate_on
2106
         ;
2107
         SIGNAL  man_res_is_not_zero_dffe3      :       STD_LOGIC
2108
         -- synopsys translate_off
2109
          := '0'
2110
         -- synopsys translate_on
2111
         ;
2112
         SIGNAL  man_res_is_not_zero_dffe31     :       STD_LOGIC
2113
         -- synopsys translate_off
2114
          := '0'
2115
         -- synopsys translate_on
2116
         ;
2117
         SIGNAL  man_res_is_not_zero_dffe4      :       STD_LOGIC
2118
         -- synopsys translate_off
2119
          := '0'
2120
         -- synopsys translate_on
2121
         ;
2122
         SIGNAL  man_res_is_not_zero_dffe41     :       STD_LOGIC
2123
         -- synopsys translate_off
2124
          := '0'
2125
         -- synopsys translate_on
2126
         ;
2127
         SIGNAL  man_res_not_zero_dffe23        :       STD_LOGIC
2128
         -- synopsys translate_off
2129
          := '0'
2130
         -- synopsys translate_on
2131
         ;
2132
         SIGNAL  man_res_rounding_add_sub_result_reg    :       STD_LOGIC_VECTOR(25 DOWNTO 0)
2133
         -- synopsys translate_off
2134
          := (OTHERS => '0')
2135
         -- synopsys translate_on
2136
         ;
2137
         SIGNAL  man_smaller_dffe13     :       STD_LOGIC_VECTOR(23 DOWNTO 0)
2138
         -- synopsys translate_off
2139
          := (OTHERS => '0')
2140
         -- synopsys translate_on
2141
         ;
2142
         SIGNAL  nan_flag_dffe5 :       STD_LOGIC
2143
         -- synopsys translate_off
2144
          := '0'
2145
         -- synopsys translate_on
2146
         ;
2147
         SIGNAL  need_complement_dffe2  :       STD_LOGIC
2148
         -- synopsys translate_off
2149
          := '0'
2150
         -- synopsys translate_on
2151
         ;
2152
         SIGNAL  overflow_flag_dffe5    :       STD_LOGIC
2153
         -- synopsys translate_off
2154
          := '0'
2155
         -- synopsys translate_on
2156
         ;
2157
         SIGNAL  round_bit_dffe21       :       STD_LOGIC
2158
         -- synopsys translate_off
2159
          := '0'
2160
         -- synopsys translate_on
2161
         ;
2162
         SIGNAL  round_bit_dffe23       :       STD_LOGIC
2163
         -- synopsys translate_off
2164
          := '0'
2165
         -- synopsys translate_on
2166
         ;
2167
         SIGNAL  round_bit_dffe3        :       STD_LOGIC
2168
         -- synopsys translate_off
2169
          := '0'
2170
         -- synopsys translate_on
2171
         ;
2172
         SIGNAL  round_bit_dffe31       :       STD_LOGIC
2173
         -- synopsys translate_off
2174
          := '0'
2175
         -- synopsys translate_on
2176
         ;
2177
         SIGNAL  rounded_res_infinity_dffe4     :       STD_LOGIC
2178
         -- synopsys translate_off
2179
          := '0'
2180
         -- synopsys translate_on
2181
         ;
2182
         SIGNAL  rshift_distance_dffe13 :       STD_LOGIC_VECTOR(4 DOWNTO 0)
2183
         -- synopsys translate_off
2184
          := (OTHERS => '0')
2185
         -- synopsys translate_on
2186
         ;
2187
         SIGNAL  rshift_distance_dffe14 :       STD_LOGIC_VECTOR(4 DOWNTO 0)
2188
         -- synopsys translate_off
2189
          := (OTHERS => '0')
2190
         -- synopsys translate_on
2191
         ;
2192
         SIGNAL  sign_dffe31    :       STD_LOGIC
2193
         -- synopsys translate_off
2194
          := '0'
2195
         -- synopsys translate_on
2196
         ;
2197
         SIGNAL  sign_out_dffe5 :       STD_LOGIC
2198
         -- synopsys translate_off
2199
          := '0'
2200
         -- synopsys translate_on
2201
         ;
2202
         SIGNAL  sign_res_dffe3 :       STD_LOGIC
2203
         -- synopsys translate_off
2204
          := '0'
2205
         -- synopsys translate_on
2206
         ;
2207
         SIGNAL  sign_res_dffe4 :       STD_LOGIC
2208
         -- synopsys translate_off
2209
          := '0'
2210
         -- synopsys translate_on
2211
         ;
2212
         SIGNAL  sign_res_dffe41        :       STD_LOGIC
2213
         -- synopsys translate_off
2214
          := '0'
2215
         -- synopsys translate_on
2216
         ;
2217
         SIGNAL  sticky_bit_dffe1       :       STD_LOGIC
2218
         -- synopsys translate_off
2219
          := '0'
2220
         -- synopsys translate_on
2221
         ;
2222
         SIGNAL  sticky_bit_dffe2       :       STD_LOGIC
2223
         -- synopsys translate_off
2224
          := '0'
2225
         -- synopsys translate_on
2226
         ;
2227
         SIGNAL  sticky_bit_dffe21      :       STD_LOGIC
2228
         -- synopsys translate_off
2229
          := '0'
2230
         -- synopsys translate_on
2231
         ;
2232
         SIGNAL  sticky_bit_dffe23      :       STD_LOGIC
2233
         -- synopsys translate_off
2234
          := '0'
2235
         -- synopsys translate_on
2236
         ;
2237
         SIGNAL  sticky_bit_dffe3       :       STD_LOGIC
2238
         -- synopsys translate_off
2239
          := '0'
2240
         -- synopsys translate_on
2241
         ;
2242
         SIGNAL  sticky_bit_dffe31      :       STD_LOGIC
2243
         -- synopsys translate_off
2244
          := '0'
2245
         -- synopsys translate_on
2246
         ;
2247
         SIGNAL  underflow_flag_dffe5   :       STD_LOGIC
2248
         -- synopsys translate_off
2249
          := '0'
2250
         -- synopsys translate_on
2251
         ;
2252
         SIGNAL  zero_flag_n_dffe5      :       STD_LOGIC
2253
         -- synopsys translate_off
2254
          := '0'
2255
         -- synopsys translate_on
2256
         ;
2257
         SIGNAL  zero_man_sign_dffe2    :       STD_LOGIC
2258
         -- synopsys translate_off
2259
          := '0'
2260
         -- synopsys translate_on
2261
         ;
2262
         SIGNAL  zero_man_sign_dffe21   :       STD_LOGIC
2263
         -- synopsys translate_off
2264
          := '0'
2265
         -- synopsys translate_on
2266
         ;
2267
         SIGNAL  zero_man_sign_dffe23   :       STD_LOGIC
2268
         -- synopsys translate_off
2269
          := '0'
2270
         -- synopsys translate_on
2271
         ;
2272
         SIGNAL  wire_add_sub1_result   :       STD_LOGIC_VECTOR (8 DOWNTO 0);
2273
         SIGNAL  wire_add_sub2_result   :       STD_LOGIC_VECTOR (8 DOWNTO 0);
2274
         SIGNAL  wire_add_sub3_result   :       STD_LOGIC_VECTOR (5 DOWNTO 0);
2275
         SIGNAL  wire_add_sub4_result   :       STD_LOGIC_VECTOR (8 DOWNTO 0);
2276
         SIGNAL  wire_add_sub5_result   :       STD_LOGIC_VECTOR (8 DOWNTO 0);
2277
         SIGNAL  wire_add_sub6_result   :       STD_LOGIC_VECTOR (8 DOWNTO 0);
2278
         SIGNAL  wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w        :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2279
         SIGNAL  wire_man_2comp_res_lower_w_lg_cout366w :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2280
         SIGNAL  wire_man_2comp_res_lower_w_lg_cout367w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2281
         SIGNAL  wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w       :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2282
         SIGNAL  wire_man_2comp_res_lower_cout  :       STD_LOGIC;
2283
         SIGNAL  wire_man_2comp_res_lower_result        :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2284
         SIGNAL  wire_gnd       :       STD_LOGIC;
2285
         SIGNAL  wire_man_2comp_res_upper0_result       :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2286
         SIGNAL  wire_vcc       :       STD_LOGIC;
2287
         SIGNAL  wire_man_2comp_res_upper1_result       :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2288
         SIGNAL  wire_man_add_sub_lower_w_lg_w_lg_cout354w355w  :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2289
         SIGNAL  wire_man_add_sub_lower_w_lg_cout353w   :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2290
         SIGNAL  wire_man_add_sub_lower_w_lg_cout354w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2291
         SIGNAL  wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2292
         SIGNAL  wire_man_add_sub_lower_cout    :       STD_LOGIC;
2293
         SIGNAL  wire_man_add_sub_lower_result  :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2294
         SIGNAL  wire_man_add_sub_upper0_result :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2295
         SIGNAL  wire_man_add_sub_upper1_result :       STD_LOGIC_VECTOR (13 DOWNTO 0);
2296
         SIGNAL  wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w     :       STD_LOGIC_VECTOR (12 DOWNTO 0);
2297
         SIGNAL  wire_man_res_rounding_add_sub_lower_w_lg_cout579w      :       STD_LOGIC_VECTOR (12 DOWNTO 0);
2298
         SIGNAL  wire_man_res_rounding_add_sub_lower_w_lg_cout580w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2299
         SIGNAL  wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w    :       STD_LOGIC_VECTOR (12 DOWNTO 0);
2300
         SIGNAL  wire_man_res_rounding_add_sub_lower_cout       :       STD_LOGIC;
2301
         SIGNAL  wire_man_res_rounding_add_sub_lower_result     :       STD_LOGIC_VECTOR (12 DOWNTO 0);
2302
         SIGNAL  wire_man_res_rounding_add_sub_upper1_result    :       STD_LOGIC_VECTOR (12 DOWNTO 0);
2303
         SIGNAL  wire_trailing_zeros_limit_comparator_agb       :       STD_LOGIC;
2304
         SIGNAL  wire_w248w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2305
         SIGNAL  wire_w267w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2306
         SIGNAL  wire_w_lg_w397w407w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2307
         SIGNAL  wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w   :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2308
         SIGNAL  wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w   :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2309
         SIGNAL  wire_w_lg_w_lg_denormal_result_w558w559w       :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2310
         SIGNAL  wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w   :       STD_LOGIC_VECTOR (25 DOWNTO 0);
2311
         SIGNAL  wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w   :       STD_LOGIC_VECTOR (25 DOWNTO 0);
2312
         SIGNAL  wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w   :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2313
         SIGNAL  wire_w_lg_w_lg_exp_amb_mux_w276w279w   :       STD_LOGIC_VECTOR (23 DOWNTO 0);
2314
         SIGNAL  wire_w_lg_w_lg_exp_amb_mux_w276w277w   :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2315
         SIGNAL  wire_w_lg_w_lg_force_infinity_w629w639w        :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2316
         SIGNAL  wire_w_lg_w_lg_force_infinity_w629w648w        :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2317
         SIGNAL  wire_w_lg_w_lg_force_infinity_w629w654w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2318
         SIGNAL  wire_w_lg_w_lg_force_nan_w630w642w     :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2319
         SIGNAL  wire_w_lg_w_lg_force_nan_w630w651w     :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2320
         SIGNAL  wire_w_lg_w_lg_force_nan_w630w659w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2321
         SIGNAL  wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w  :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2322
         SIGNAL  wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w  :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2323
         SIGNAL  wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2324
         SIGNAL  wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w  :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2325
         SIGNAL  wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w  :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2326
         SIGNAL  wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2327
         SIGNAL  wire_w_lg_w_lg_input_datab_infinite_dffe15_wo337w338w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2328
         SIGNAL  wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2329
         SIGNAL  wire_w293w     :       STD_LOGIC_VECTOR (4 DOWNTO 0);
2330
         SIGNAL  wire_w397w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2331
         SIGNAL  wire_w383w     :       STD_LOGIC_VECTOR (1 DOWNTO 0);
2332
         SIGNAL  wire_w412w     :       STD_LOGIC_VECTOR (25 DOWNTO 0);
2333
         SIGNAL  wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w       :       STD_LOGIC_VECTOR (27 DOWNTO 0);
2334
         SIGNAL  wire_w587w     :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2335
         SIGNAL  wire_w_lg_w_lg_force_zero_w634w637w    :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2336
         SIGNAL  wire_w_lg_w_lg_force_zero_w634w646w    :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2337
         SIGNAL  wire_w_lg_exp_amb_mux_dffe15_wo330w    :       STD_LOGIC_VECTOR (25 DOWNTO 0);
2338
         SIGNAL  wire_w_lg_exp_amb_mux_dffe15_wo323w    :       STD_LOGIC_VECTOR (25 DOWNTO 0);
2339
         SIGNAL  wire_w_lg_exp_amb_mux_dffe15_wo314w    :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2340
         SIGNAL  wire_w_lg_exp_amb_mux_w280w    :       STD_LOGIC_VECTOR (23 DOWNTO 0);
2341
         SIGNAL  wire_w_lg_exp_amb_mux_w274w    :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2342
         SIGNAL  wire_w_lg_force_infinity_w640w :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2343
         SIGNAL  wire_w_lg_force_infinity_w649w :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2344
         SIGNAL  wire_w_lg_force_nan_w643w      :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2345
         SIGNAL  wire_w_lg_force_nan_w652w      :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2346
         SIGNAL  wire_w_lg_need_complement_dffe22_wo376w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2347
         SIGNAL  wire_w_lg_w_dataa_range17w23w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2348
         SIGNAL  wire_w_lg_w_dataa_range27w33w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2349
         SIGNAL  wire_w_lg_w_dataa_range37w43w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2350
         SIGNAL  wire_w_lg_w_dataa_range47w53w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2351
         SIGNAL  wire_w_lg_w_dataa_range57w63w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2352
         SIGNAL  wire_w_lg_w_dataa_range67w73w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2353
         SIGNAL  wire_w_lg_w_dataa_range77w83w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2354
         SIGNAL  wire_w_lg_w_datab_range20w25w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2355
         SIGNAL  wire_w_lg_w_datab_range30w35w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2356
         SIGNAL  wire_w_lg_w_datab_range40w45w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2357
         SIGNAL  wire_w_lg_w_datab_range50w55w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2358
         SIGNAL  wire_w_lg_w_datab_range60w65w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2359
         SIGNAL  wire_w_lg_w_datab_range70w75w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2360
         SIGNAL  wire_w_lg_w_datab_range80w85w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2361
         SIGNAL  wire_w_lg_w_exp_a_all_one_w_range84w220w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2362
         SIGNAL  wire_w_lg_w_exp_b_all_one_w_range86w226w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2363
         SIGNAL  wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w294w    :       STD_LOGIC_VECTOR (4 DOWNTO 0);
2364
         SIGNAL  wire_w_lg_w_exp_res_max_w_range540w542w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2365
         SIGNAL  wire_w_lg_w_exp_res_max_w_range543w544w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2366
         SIGNAL  wire_w_lg_w_exp_res_max_w_range545w546w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2367
         SIGNAL  wire_w_lg_w_exp_res_max_w_range547w548w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2368
         SIGNAL  wire_w_lg_w_exp_res_max_w_range549w550w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2369
         SIGNAL  wire_w_lg_w_exp_res_max_w_range551w552w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2370
         SIGNAL  wire_w_lg_w_exp_res_max_w_range553w554w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2371
         SIGNAL  wire_w_lg_w_exp_res_max_w_range555w561w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2372
         SIGNAL  wire_w_lg_w_exp_rounded_res_max_w_range601w604w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2373
         SIGNAL  wire_w_lg_w_exp_rounded_res_max_w_range605w607w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2374
         SIGNAL  wire_w_lg_w_exp_rounded_res_max_w_range608w610w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2375
         SIGNAL  wire_w_lg_w_exp_rounded_res_max_w_range611w613w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2376
         SIGNAL  wire_w_lg_w_exp_rounded_res_max_w_range614w616w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2377
         SIGNAL  wire_w_lg_w_exp_rounded_res_max_w_range617w619w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2378
         SIGNAL  wire_w_lg_w_exp_rounded_res_max_w_range620w622w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2379
         SIGNAL  wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2380
         SIGNAL  wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w        :       STD_LOGIC_VECTOR (1 DOWNTO 0);
2381
         SIGNAL  wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w        :       STD_LOGIC_VECTOR (25 DOWNTO 0);
2382
         SIGNAL  wire_w_lg_w_man_add_sub_w_range372w379w        :       STD_LOGIC_VECTOR (27 DOWNTO 0);
2383
         SIGNAL  wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w   :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2384
         SIGNAL  wire_w_lg_w_lg_force_zero_w634w635w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2385
         SIGNAL  wire_w_lg_add_sub_dffe25_wo491w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2386
         SIGNAL  wire_w_lg_add_sub_w2342w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2387
         SIGNAL  wire_w_lg_denormal_result_w558w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2388
         SIGNAL  wire_w_lg_exp_amb_mux_dffe15_wo316w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2389
         SIGNAL  wire_w_lg_exp_amb_mux_w276w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2390
         SIGNAL  wire_w_lg_force_infinity_w629w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2391
         SIGNAL  wire_w_lg_force_nan_w630w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2392
         SIGNAL  wire_w_lg_force_zero_w628w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2393
         SIGNAL  wire_w_lg_input_dataa_denormal_dffe11_wo233w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2394
         SIGNAL  wire_w_lg_input_dataa_infinite_dffe11_wo246w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2395
         SIGNAL  wire_w_lg_input_dataa_zero_dffe11_wo245w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2396
         SIGNAL  wire_w_lg_input_datab_denormal_dffe11_wo252w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2397
         SIGNAL  wire_w_lg_input_datab_infinite_dffe11_wo265w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2398
         SIGNAL  wire_w_lg_input_datab_infinite_dffe15_wo337w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2399
         SIGNAL  wire_w_lg_input_datab_zero_dffe11_wo264w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2400
         SIGNAL  wire_w_lg_input_is_infinite_dffe4_wo658w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2401
         SIGNAL  wire_w_lg_man_res_is_not_zero_dffe4_wo627w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2402
         SIGNAL  wire_w_lg_man_res_not_zero_dffe26_wo503w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2403
         SIGNAL  wire_w_lg_need_complement_dffe22_wo373w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2404
         SIGNAL  wire_w_lg_sticky_bit_dffe1_wo343w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2405
         SIGNAL  wire_w_lg_zero_flag_n_dffe5_wo663w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2406
         SIGNAL  wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2407
         SIGNAL  wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w292w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2408
         SIGNAL  wire_w_lg_w_man_a_not_zero_w_range215w219w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2409
         SIGNAL  wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2410
         SIGNAL  wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2411
         SIGNAL  wire_w_lg_w_man_add_sub_w_range372w375w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2412
         SIGNAL  wire_w_lg_w_man_b_not_zero_w_range218w225w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2413
         SIGNAL  wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2414
         SIGNAL  wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w   :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2415
         SIGNAL  wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w   :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2416
         SIGNAL  wire_w_lg_w_lg_force_infinity_w640w641w        :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2417
         SIGNAL  wire_w_lg_w_lg_force_infinity_w649w650w        :       STD_LOGIC_VECTOR (22 DOWNTO 0);
2418
         SIGNAL  wire_w_lg_force_zero_w634w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2419
         SIGNAL  wire_w_lg_sticky_bit_dffe27_wo402w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2420
         SIGNAL  wire_w_lg_w_dataa_range141w142w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2421
         SIGNAL  wire_w_lg_w_dataa_range147w148w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2422
         SIGNAL  wire_w_lg_w_dataa_range153w154w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2423
         SIGNAL  wire_w_lg_w_dataa_range159w160w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2424
         SIGNAL  wire_w_lg_w_dataa_range165w166w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2425
         SIGNAL  wire_w_lg_w_dataa_range171w172w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2426
         SIGNAL  wire_w_lg_w_dataa_range177w178w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2427
         SIGNAL  wire_w_lg_w_dataa_range183w184w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2428
         SIGNAL  wire_w_lg_w_dataa_range189w190w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2429
         SIGNAL  wire_w_lg_w_dataa_range195w196w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2430
         SIGNAL  wire_w_lg_w_dataa_range87w88w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2431
         SIGNAL  wire_w_lg_w_dataa_range201w202w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2432
         SIGNAL  wire_w_lg_w_dataa_range207w208w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2433
         SIGNAL  wire_w_lg_w_dataa_range213w214w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2434
         SIGNAL  wire_w_lg_w_dataa_range17w18w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2435
         SIGNAL  wire_w_lg_w_dataa_range27w28w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2436
         SIGNAL  wire_w_lg_w_dataa_range37w38w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2437
         SIGNAL  wire_w_lg_w_dataa_range47w48w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2438
         SIGNAL  wire_w_lg_w_dataa_range57w58w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2439
         SIGNAL  wire_w_lg_w_dataa_range67w68w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2440
         SIGNAL  wire_w_lg_w_dataa_range93w94w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2441
         SIGNAL  wire_w_lg_w_dataa_range77w78w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2442
         SIGNAL  wire_w_lg_w_dataa_range99w100w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2443
         SIGNAL  wire_w_lg_w_dataa_range105w106w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2444
         SIGNAL  wire_w_lg_w_dataa_range111w112w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2445
         SIGNAL  wire_w_lg_w_dataa_range117w118w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2446
         SIGNAL  wire_w_lg_w_dataa_range123w124w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2447
         SIGNAL  wire_w_lg_w_dataa_range129w130w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2448
         SIGNAL  wire_w_lg_w_dataa_range135w136w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2449
         SIGNAL  wire_w_lg_w_datab_range144w145w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2450
         SIGNAL  wire_w_lg_w_datab_range150w151w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2451
         SIGNAL  wire_w_lg_w_datab_range156w157w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2452
         SIGNAL  wire_w_lg_w_datab_range162w163w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2453
         SIGNAL  wire_w_lg_w_datab_range168w169w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2454
         SIGNAL  wire_w_lg_w_datab_range174w175w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2455
         SIGNAL  wire_w_lg_w_datab_range180w181w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2456
         SIGNAL  wire_w_lg_w_datab_range186w187w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2457
         SIGNAL  wire_w_lg_w_datab_range192w193w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2458
         SIGNAL  wire_w_lg_w_datab_range198w199w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2459
         SIGNAL  wire_w_lg_w_datab_range90w91w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2460
         SIGNAL  wire_w_lg_w_datab_range204w205w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2461
         SIGNAL  wire_w_lg_w_datab_range210w211w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2462
         SIGNAL  wire_w_lg_w_datab_range216w217w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2463
         SIGNAL  wire_w_lg_w_datab_range20w21w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2464
         SIGNAL  wire_w_lg_w_datab_range30w31w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2465
         SIGNAL  wire_w_lg_w_datab_range40w41w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2466
         SIGNAL  wire_w_lg_w_datab_range50w51w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2467
         SIGNAL  wire_w_lg_w_datab_range60w61w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2468
         SIGNAL  wire_w_lg_w_datab_range70w71w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2469
         SIGNAL  wire_w_lg_w_datab_range96w97w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2470
         SIGNAL  wire_w_lg_w_datab_range80w81w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2471
         SIGNAL  wire_w_lg_w_datab_range102w103w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2472
         SIGNAL  wire_w_lg_w_datab_range108w109w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2473
         SIGNAL  wire_w_lg_w_datab_range114w115w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2474
         SIGNAL  wire_w_lg_w_datab_range120w121w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2475
         SIGNAL  wire_w_lg_w_datab_range126w127w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2476
         SIGNAL  wire_w_lg_w_datab_range132w133w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2477
         SIGNAL  wire_w_lg_w_datab_range138w139w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2478
         SIGNAL  wire_w_lg_w_exp_diff_abs_exceed_max_w_range283w286w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2479
         SIGNAL  wire_w_lg_w_exp_diff_abs_exceed_max_w_range287w289w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2480
         SIGNAL  wire_w_lg_w_exp_res_not_zero_w_range516w519w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2481
         SIGNAL  wire_w_lg_w_exp_res_not_zero_w_range520w522w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2482
         SIGNAL  wire_w_lg_w_exp_res_not_zero_w_range523w525w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2483
         SIGNAL  wire_w_lg_w_exp_res_not_zero_w_range526w528w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2484
         SIGNAL  wire_w_lg_w_exp_res_not_zero_w_range529w531w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2485
         SIGNAL  wire_w_lg_w_exp_res_not_zero_w_range532w534w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2486
         SIGNAL  wire_w_lg_w_exp_res_not_zero_w_range535w537w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2487
         SIGNAL  wire_w_lg_w_exp_res_not_zero_w_range538w539w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2488
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range417w420w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2489
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range448w450w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2490
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range451w453w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2491
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range454w456w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2492
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range457w459w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2493
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range460w462w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2494
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range463w465w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2495
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range466w468w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2496
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range469w471w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2497
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range472w474w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2498
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range475w477w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2499
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range421w423w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2500
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range478w480w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2501
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range481w483w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2502
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range484w486w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2503
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range487w489w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2504
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range424w426w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2505
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range427w429w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2506
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range430w432w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2507
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range433w435w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2508
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range436w438w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2509
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range439w441w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2510
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range442w444w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2511
         SIGNAL  wire_w_lg_w_man_res_not_zero_w2_range445w447w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
2512
         SIGNAL  add_sub_dffe25_wi :    STD_LOGIC;
2513
         SIGNAL  add_sub_dffe25_wo :    STD_LOGIC;
2514
         SIGNAL  add_sub_w2 :   STD_LOGIC;
2515
         SIGNAL  adder_upper_w :        STD_LOGIC_VECTOR (12 DOWNTO 0);
2516
         SIGNAL  aligned_dataa_exp_dffe12_wi :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2517
         SIGNAL  aligned_dataa_exp_dffe12_wo :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2518
         SIGNAL  aligned_dataa_exp_dffe13_wi :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2519
         SIGNAL  aligned_dataa_exp_dffe13_wo :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2520
         SIGNAL  aligned_dataa_exp_dffe14_wi :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2521
         SIGNAL  aligned_dataa_exp_dffe14_wo :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2522
         SIGNAL  aligned_dataa_exp_dffe15_wi :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2523
         SIGNAL  aligned_dataa_exp_dffe15_wo :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2524
         SIGNAL  aligned_dataa_exp_w :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2525
         SIGNAL  aligned_dataa_man_dffe12_wi :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2526
         SIGNAL  aligned_dataa_man_dffe12_wo :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2527
         SIGNAL  aligned_dataa_man_dffe13_wi :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2528
         SIGNAL  aligned_dataa_man_dffe13_wo :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2529
         SIGNAL  aligned_dataa_man_dffe14_wi :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2530
         SIGNAL  aligned_dataa_man_dffe14_wo :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2531
         SIGNAL  aligned_dataa_man_dffe15_w :   STD_LOGIC_VECTOR (25 DOWNTO 0);
2532
         SIGNAL  aligned_dataa_man_dffe15_wi :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2533
         SIGNAL  aligned_dataa_man_dffe15_wo :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2534
         SIGNAL  aligned_dataa_man_w :  STD_LOGIC_VECTOR (25 DOWNTO 0);
2535
         SIGNAL  aligned_dataa_sign_dffe12_wi : STD_LOGIC;
2536
         SIGNAL  aligned_dataa_sign_dffe12_wo : STD_LOGIC;
2537
         SIGNAL  aligned_dataa_sign_dffe13_wi : STD_LOGIC;
2538
         SIGNAL  aligned_dataa_sign_dffe13_wo : STD_LOGIC;
2539
         SIGNAL  aligned_dataa_sign_dffe14_wi : STD_LOGIC;
2540
         SIGNAL  aligned_dataa_sign_dffe14_wo : STD_LOGIC;
2541
         SIGNAL  aligned_dataa_sign_dffe15_wi : STD_LOGIC;
2542
         SIGNAL  aligned_dataa_sign_dffe15_wo : STD_LOGIC;
2543
         SIGNAL  aligned_dataa_sign_w : STD_LOGIC;
2544
         SIGNAL  aligned_datab_exp_dffe12_wi :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2545
         SIGNAL  aligned_datab_exp_dffe12_wo :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2546
         SIGNAL  aligned_datab_exp_dffe13_wi :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2547
         SIGNAL  aligned_datab_exp_dffe13_wo :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2548
         SIGNAL  aligned_datab_exp_dffe14_wi :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2549
         SIGNAL  aligned_datab_exp_dffe14_wo :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2550
         SIGNAL  aligned_datab_exp_dffe15_wi :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2551
         SIGNAL  aligned_datab_exp_dffe15_wo :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2552
         SIGNAL  aligned_datab_exp_w :  STD_LOGIC_VECTOR (8 DOWNTO 0);
2553
         SIGNAL  aligned_datab_man_dffe12_wi :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2554
         SIGNAL  aligned_datab_man_dffe12_wo :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2555
         SIGNAL  aligned_datab_man_dffe13_wi :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2556
         SIGNAL  aligned_datab_man_dffe13_wo :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2557
         SIGNAL  aligned_datab_man_dffe14_wi :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2558
         SIGNAL  aligned_datab_man_dffe14_wo :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2559
         SIGNAL  aligned_datab_man_dffe15_w :   STD_LOGIC_VECTOR (25 DOWNTO 0);
2560
         SIGNAL  aligned_datab_man_dffe15_wi :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2561
         SIGNAL  aligned_datab_man_dffe15_wo :  STD_LOGIC_VECTOR (23 DOWNTO 0);
2562
         SIGNAL  aligned_datab_man_w :  STD_LOGIC_VECTOR (25 DOWNTO 0);
2563
         SIGNAL  aligned_datab_sign_dffe12_wi : STD_LOGIC;
2564
         SIGNAL  aligned_datab_sign_dffe12_wo : STD_LOGIC;
2565
         SIGNAL  aligned_datab_sign_dffe13_wi : STD_LOGIC;
2566
         SIGNAL  aligned_datab_sign_dffe13_wo : STD_LOGIC;
2567
         SIGNAL  aligned_datab_sign_dffe14_wi : STD_LOGIC;
2568
         SIGNAL  aligned_datab_sign_dffe14_wo : STD_LOGIC;
2569
         SIGNAL  aligned_datab_sign_dffe15_wi : STD_LOGIC;
2570
         SIGNAL  aligned_datab_sign_dffe15_wo : STD_LOGIC;
2571
         SIGNAL  aligned_datab_sign_w : STD_LOGIC;
2572
         SIGNAL  borrow_w :     STD_LOGIC;
2573
         SIGNAL  both_inputs_are_infinite_dffe1_wi :    STD_LOGIC;
2574
         SIGNAL  both_inputs_are_infinite_dffe1_wo :    STD_LOGIC;
2575
         SIGNAL  both_inputs_are_infinite_dffe25_wi :   STD_LOGIC;
2576
         SIGNAL  both_inputs_are_infinite_dffe25_wo :   STD_LOGIC;
2577
         SIGNAL  data_exp_dffe1_wi :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2578
         SIGNAL  data_exp_dffe1_wo :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2579
         SIGNAL  dataa_dffe11_wi :      STD_LOGIC_VECTOR (31 DOWNTO 0);
2580
         SIGNAL  dataa_dffe11_wo :      STD_LOGIC_VECTOR (31 DOWNTO 0);
2581
         SIGNAL  dataa_man_dffe1_wi :   STD_LOGIC_VECTOR (25 DOWNTO 0);
2582
         SIGNAL  dataa_man_dffe1_wo :   STD_LOGIC_VECTOR (25 DOWNTO 0);
2583
         SIGNAL  dataa_sign_dffe1_wi :  STD_LOGIC;
2584
         SIGNAL  dataa_sign_dffe1_wo :  STD_LOGIC;
2585
         SIGNAL  dataa_sign_dffe25_wi : STD_LOGIC;
2586
         SIGNAL  dataa_sign_dffe25_wo : STD_LOGIC;
2587
         SIGNAL  datab_dffe11_wi :      STD_LOGIC_VECTOR (31 DOWNTO 0);
2588
         SIGNAL  datab_dffe11_wo :      STD_LOGIC_VECTOR (31 DOWNTO 0);
2589
         SIGNAL  datab_man_dffe1_wi :   STD_LOGIC_VECTOR (25 DOWNTO 0);
2590
         SIGNAL  datab_man_dffe1_wo :   STD_LOGIC_VECTOR (25 DOWNTO 0);
2591
         SIGNAL  datab_sign_dffe1_wi :  STD_LOGIC;
2592
         SIGNAL  datab_sign_dffe1_wo :  STD_LOGIC;
2593
         SIGNAL  denormal_flag_w :      STD_LOGIC;
2594
         SIGNAL  denormal_res_dffe32_wi :       STD_LOGIC;
2595
         SIGNAL  denormal_res_dffe32_wo :       STD_LOGIC;
2596
         SIGNAL  denormal_res_dffe33_wi :       STD_LOGIC;
2597
         SIGNAL  denormal_res_dffe33_wo :       STD_LOGIC;
2598
         SIGNAL  denormal_res_dffe3_wi :        STD_LOGIC;
2599
         SIGNAL  denormal_res_dffe3_wo :        STD_LOGIC;
2600
         SIGNAL  denormal_res_dffe41_wi :       STD_LOGIC;
2601
         SIGNAL  denormal_res_dffe41_wo :       STD_LOGIC;
2602
         SIGNAL  denormal_res_dffe42_wi :       STD_LOGIC;
2603
         SIGNAL  denormal_res_dffe42_wo :       STD_LOGIC;
2604
         SIGNAL  denormal_res_dffe4_wi :        STD_LOGIC;
2605
         SIGNAL  denormal_res_dffe4_wo :        STD_LOGIC;
2606
         SIGNAL  denormal_result_w :    STD_LOGIC;
2607
         SIGNAL  exp_a_all_one_w :      STD_LOGIC_VECTOR (7 DOWNTO 0);
2608
         SIGNAL  exp_a_not_zero_w :     STD_LOGIC_VECTOR (7 DOWNTO 0);
2609
         SIGNAL  exp_adj_0pads :        STD_LOGIC_VECTOR (6 DOWNTO 0);
2610
         SIGNAL  exp_adj_dffe21_wi :    STD_LOGIC_VECTOR (1 DOWNTO 0);
2611
         SIGNAL  exp_adj_dffe21_wo :    STD_LOGIC_VECTOR (1 DOWNTO 0);
2612
         SIGNAL  exp_adj_dffe23_wi :    STD_LOGIC_VECTOR (1 DOWNTO 0);
2613
         SIGNAL  exp_adj_dffe23_wo :    STD_LOGIC_VECTOR (1 DOWNTO 0);
2614
         SIGNAL  exp_adj_dffe26_wi :    STD_LOGIC_VECTOR (1 DOWNTO 0);
2615
         SIGNAL  exp_adj_dffe26_wo :    STD_LOGIC_VECTOR (1 DOWNTO 0);
2616
         SIGNAL  exp_adjust_by_add1 :   STD_LOGIC_VECTOR (1 DOWNTO 0);
2617
         SIGNAL  exp_adjust_by_add2 :   STD_LOGIC_VECTOR (1 DOWNTO 0);
2618
         SIGNAL  exp_adjustment2_add_sub_dataa_w :      STD_LOGIC_VECTOR (8 DOWNTO 0);
2619
         SIGNAL  exp_adjustment2_add_sub_datab_w :      STD_LOGIC_VECTOR (8 DOWNTO 0);
2620
         SIGNAL  exp_adjustment2_add_sub_w :    STD_LOGIC_VECTOR (8 DOWNTO 0);
2621
         SIGNAL  exp_adjustment_add_sub_dataa_w :       STD_LOGIC_VECTOR (8 DOWNTO 0);
2622
         SIGNAL  exp_adjustment_add_sub_datab_w :       STD_LOGIC_VECTOR (8 DOWNTO 0);
2623
         SIGNAL  exp_adjustment_add_sub_w :     STD_LOGIC_VECTOR (8 DOWNTO 0);
2624
         SIGNAL  exp_all_ones_w :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2625
         SIGNAL  exp_all_zeros_w :      STD_LOGIC_VECTOR (7 DOWNTO 0);
2626
         SIGNAL  exp_amb_mux_dffe13_wi :        STD_LOGIC;
2627
         SIGNAL  exp_amb_mux_dffe13_wo :        STD_LOGIC;
2628
         SIGNAL  exp_amb_mux_dffe14_wi :        STD_LOGIC;
2629
         SIGNAL  exp_amb_mux_dffe14_wo :        STD_LOGIC;
2630
         SIGNAL  exp_amb_mux_dffe15_wi :        STD_LOGIC;
2631
         SIGNAL  exp_amb_mux_dffe15_wo :        STD_LOGIC;
2632
         SIGNAL  exp_amb_mux_w :        STD_LOGIC;
2633
         SIGNAL  exp_amb_w :    STD_LOGIC_VECTOR (8 DOWNTO 0);
2634
         SIGNAL  exp_b_all_one_w :      STD_LOGIC_VECTOR (7 DOWNTO 0);
2635
         SIGNAL  exp_b_not_zero_w :     STD_LOGIC_VECTOR (7 DOWNTO 0);
2636
         SIGNAL  exp_bma_w :    STD_LOGIC_VECTOR (8 DOWNTO 0);
2637
         SIGNAL  exp_diff_abs_exceed_max_w :    STD_LOGIC_VECTOR (2 DOWNTO 0);
2638
         SIGNAL  exp_diff_abs_max_w :   STD_LOGIC_VECTOR (4 DOWNTO 0);
2639
         SIGNAL  exp_diff_abs_w :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2640
         SIGNAL  exp_intermediate_res_dffe41_wi :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2641
         SIGNAL  exp_intermediate_res_dffe41_wo :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2642
         SIGNAL  exp_intermediate_res_dffe42_wi :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2643
         SIGNAL  exp_intermediate_res_dffe42_wo :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2644
         SIGNAL  exp_intermediate_res_w :       STD_LOGIC_VECTOR (7 DOWNTO 0);
2645
         SIGNAL  exp_out_dffe5_wi :     STD_LOGIC_VECTOR (7 DOWNTO 0);
2646
         SIGNAL  exp_out_dffe5_wo :     STD_LOGIC_VECTOR (7 DOWNTO 0);
2647
         SIGNAL  exp_res_dffe21_wi :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2648
         SIGNAL  exp_res_dffe21_wo :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2649
         SIGNAL  exp_res_dffe22_wi :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2650
         SIGNAL  exp_res_dffe22_wo :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2651
         SIGNAL  exp_res_dffe23_wi :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2652
         SIGNAL  exp_res_dffe23_wo :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2653
         SIGNAL  exp_res_dffe25_wi :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2654
         SIGNAL  exp_res_dffe25_wo :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2655
         SIGNAL  exp_res_dffe26_wi :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2656
         SIGNAL  exp_res_dffe26_wo :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2657
         SIGNAL  exp_res_dffe27_wi :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2658
         SIGNAL  exp_res_dffe27_wo :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2659
         SIGNAL  exp_res_dffe2_wi :     STD_LOGIC_VECTOR (7 DOWNTO 0);
2660
         SIGNAL  exp_res_dffe2_wo :     STD_LOGIC_VECTOR (7 DOWNTO 0);
2661
         SIGNAL  exp_res_dffe32_wi :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2662
         SIGNAL  exp_res_dffe32_wo :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2663
         SIGNAL  exp_res_dffe33_wi :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2664
         SIGNAL  exp_res_dffe33_wo :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2665
         SIGNAL  exp_res_dffe3_wi :     STD_LOGIC_VECTOR (7 DOWNTO 0);
2666
         SIGNAL  exp_res_dffe3_wo :     STD_LOGIC_VECTOR (7 DOWNTO 0);
2667
         SIGNAL  exp_res_dffe4_wi :     STD_LOGIC_VECTOR (7 DOWNTO 0);
2668
         SIGNAL  exp_res_dffe4_wo :     STD_LOGIC_VECTOR (7 DOWNTO 0);
2669
         SIGNAL  exp_res_max_w :        STD_LOGIC_VECTOR (7 DOWNTO 0);
2670
         SIGNAL  exp_res_not_zero_w :   STD_LOGIC_VECTOR (8 DOWNTO 0);
2671
         SIGNAL  exp_res_rounding_adder_dataa_w :       STD_LOGIC_VECTOR (8 DOWNTO 0);
2672
         SIGNAL  exp_res_rounding_adder_w :     STD_LOGIC_VECTOR (8 DOWNTO 0);
2673
         SIGNAL  exp_rounded_res_infinity_w :   STD_LOGIC;
2674
         SIGNAL  exp_rounded_res_max_w :        STD_LOGIC_VECTOR (7 DOWNTO 0);
2675
         SIGNAL  exp_rounded_res_w :    STD_LOGIC_VECTOR (7 DOWNTO 0);
2676
         SIGNAL  exp_rounding_adjustment_w :    STD_LOGIC_VECTOR (8 DOWNTO 0);
2677
         SIGNAL  exp_value :    STD_LOGIC_VECTOR (8 DOWNTO 0);
2678
         SIGNAL  force_infinity_w :     STD_LOGIC;
2679
         SIGNAL  force_nan_w :  STD_LOGIC;
2680
         SIGNAL  force_zero_w : STD_LOGIC;
2681
         SIGNAL  guard_bit_dffe3_wo :   STD_LOGIC;
2682
         SIGNAL  infinite_output_sign_dffe1_wi :        STD_LOGIC;
2683
         SIGNAL  infinite_output_sign_dffe1_wo :        STD_LOGIC;
2684
         SIGNAL  infinite_output_sign_dffe21_wi :       STD_LOGIC;
2685
         SIGNAL  infinite_output_sign_dffe21_wo :       STD_LOGIC;
2686
         SIGNAL  infinite_output_sign_dffe22_wi :       STD_LOGIC;
2687
         SIGNAL  infinite_output_sign_dffe22_wo :       STD_LOGIC;
2688
         SIGNAL  infinite_output_sign_dffe23_wi :       STD_LOGIC;
2689
         SIGNAL  infinite_output_sign_dffe23_wo :       STD_LOGIC;
2690
         SIGNAL  infinite_output_sign_dffe25_wi :       STD_LOGIC;
2691
         SIGNAL  infinite_output_sign_dffe25_wo :       STD_LOGIC;
2692
         SIGNAL  infinite_output_sign_dffe26_wi :       STD_LOGIC;
2693
         SIGNAL  infinite_output_sign_dffe26_wo :       STD_LOGIC;
2694
         SIGNAL  infinite_output_sign_dffe27_wi :       STD_LOGIC;
2695
         SIGNAL  infinite_output_sign_dffe27_wo :       STD_LOGIC;
2696
         SIGNAL  infinite_output_sign_dffe2_wi :        STD_LOGIC;
2697
         SIGNAL  infinite_output_sign_dffe2_wo :        STD_LOGIC;
2698
         SIGNAL  infinite_output_sign_dffe31_wi :       STD_LOGIC;
2699
         SIGNAL  infinite_output_sign_dffe31_wo :       STD_LOGIC;
2700
         SIGNAL  infinite_output_sign_dffe32_wi :       STD_LOGIC;
2701
         SIGNAL  infinite_output_sign_dffe32_wo :       STD_LOGIC;
2702
         SIGNAL  infinite_output_sign_dffe33_wi :       STD_LOGIC;
2703
         SIGNAL  infinite_output_sign_dffe33_wo :       STD_LOGIC;
2704
         SIGNAL  infinite_output_sign_dffe3_wi :        STD_LOGIC;
2705
         SIGNAL  infinite_output_sign_dffe3_wo :        STD_LOGIC;
2706
         SIGNAL  infinite_output_sign_dffe41_wi :       STD_LOGIC;
2707
         SIGNAL  infinite_output_sign_dffe41_wo :       STD_LOGIC;
2708
         SIGNAL  infinite_output_sign_dffe42_wi :       STD_LOGIC;
2709
         SIGNAL  infinite_output_sign_dffe42_wo :       STD_LOGIC;
2710
         SIGNAL  infinite_output_sign_dffe4_wi :        STD_LOGIC;
2711
         SIGNAL  infinite_output_sign_dffe4_wo :        STD_LOGIC;
2712
         SIGNAL  infinite_res_dff32_wi :        STD_LOGIC;
2713
         SIGNAL  infinite_res_dff32_wo :        STD_LOGIC;
2714
         SIGNAL  infinite_res_dff33_wi :        STD_LOGIC;
2715
         SIGNAL  infinite_res_dff33_wo :        STD_LOGIC;
2716
         SIGNAL  infinite_res_dffe3_wi :        STD_LOGIC;
2717
         SIGNAL  infinite_res_dffe3_wo :        STD_LOGIC;
2718
         SIGNAL  infinite_res_dffe41_wi :       STD_LOGIC;
2719
         SIGNAL  infinite_res_dffe41_wo :       STD_LOGIC;
2720
         SIGNAL  infinite_res_dffe42_wi :       STD_LOGIC;
2721
         SIGNAL  infinite_res_dffe42_wo :       STD_LOGIC;
2722
         SIGNAL  infinite_res_dffe4_wi :        STD_LOGIC;
2723
         SIGNAL  infinite_res_dffe4_wo :        STD_LOGIC;
2724
         SIGNAL  infinity_magnitude_sub_dffe21_wi :     STD_LOGIC;
2725
         SIGNAL  infinity_magnitude_sub_dffe21_wo :     STD_LOGIC;
2726
         SIGNAL  infinity_magnitude_sub_dffe22_wi :     STD_LOGIC;
2727
         SIGNAL  infinity_magnitude_sub_dffe22_wo :     STD_LOGIC;
2728
         SIGNAL  infinity_magnitude_sub_dffe23_wi :     STD_LOGIC;
2729
         SIGNAL  infinity_magnitude_sub_dffe23_wo :     STD_LOGIC;
2730
         SIGNAL  infinity_magnitude_sub_dffe26_wi :     STD_LOGIC;
2731
         SIGNAL  infinity_magnitude_sub_dffe26_wo :     STD_LOGIC;
2732
         SIGNAL  infinity_magnitude_sub_dffe27_wi :     STD_LOGIC;
2733
         SIGNAL  infinity_magnitude_sub_dffe27_wo :     STD_LOGIC;
2734
         SIGNAL  infinity_magnitude_sub_dffe2_wi :      STD_LOGIC;
2735
         SIGNAL  infinity_magnitude_sub_dffe2_wo :      STD_LOGIC;
2736
         SIGNAL  infinity_magnitude_sub_dffe31_wi :     STD_LOGIC;
2737
         SIGNAL  infinity_magnitude_sub_dffe31_wo :     STD_LOGIC;
2738
         SIGNAL  infinity_magnitude_sub_dffe32_wi :     STD_LOGIC;
2739
         SIGNAL  infinity_magnitude_sub_dffe32_wo :     STD_LOGIC;
2740
         SIGNAL  infinity_magnitude_sub_dffe33_wi :     STD_LOGIC;
2741
         SIGNAL  infinity_magnitude_sub_dffe33_wo :     STD_LOGIC;
2742
         SIGNAL  infinity_magnitude_sub_dffe3_wi :      STD_LOGIC;
2743
         SIGNAL  infinity_magnitude_sub_dffe3_wo :      STD_LOGIC;
2744
         SIGNAL  infinity_magnitude_sub_dffe41_wi :     STD_LOGIC;
2745
         SIGNAL  infinity_magnitude_sub_dffe41_wo :     STD_LOGIC;
2746
         SIGNAL  infinity_magnitude_sub_dffe42_wi :     STD_LOGIC;
2747
         SIGNAL  infinity_magnitude_sub_dffe42_wo :     STD_LOGIC;
2748
         SIGNAL  infinity_magnitude_sub_dffe4_wi :      STD_LOGIC;
2749
         SIGNAL  infinity_magnitude_sub_dffe4_wo :      STD_LOGIC;
2750
         SIGNAL  input_dataa_denormal_dffe11_wi :       STD_LOGIC;
2751
         SIGNAL  input_dataa_denormal_dffe11_wo :       STD_LOGIC;
2752
         SIGNAL  input_dataa_denormal_w :       STD_LOGIC;
2753
         SIGNAL  input_dataa_infinite_dffe11_wi :       STD_LOGIC;
2754
         SIGNAL  input_dataa_infinite_dffe11_wo :       STD_LOGIC;
2755
         SIGNAL  input_dataa_infinite_dffe12_wi :       STD_LOGIC;
2756
         SIGNAL  input_dataa_infinite_dffe12_wo :       STD_LOGIC;
2757
         SIGNAL  input_dataa_infinite_dffe13_wi :       STD_LOGIC;
2758
         SIGNAL  input_dataa_infinite_dffe13_wo :       STD_LOGIC;
2759
         SIGNAL  input_dataa_infinite_dffe14_wi :       STD_LOGIC;
2760
         SIGNAL  input_dataa_infinite_dffe14_wo :       STD_LOGIC;
2761
         SIGNAL  input_dataa_infinite_dffe15_wi :       STD_LOGIC;
2762
         SIGNAL  input_dataa_infinite_dffe15_wo :       STD_LOGIC;
2763
         SIGNAL  input_dataa_infinite_w :       STD_LOGIC;
2764
         SIGNAL  input_dataa_nan_dffe11_wi :    STD_LOGIC;
2765
         SIGNAL  input_dataa_nan_dffe11_wo :    STD_LOGIC;
2766
         SIGNAL  input_dataa_nan_dffe12_wi :    STD_LOGIC;
2767
         SIGNAL  input_dataa_nan_dffe12_wo :    STD_LOGIC;
2768
         SIGNAL  input_dataa_nan_w :    STD_LOGIC;
2769
         SIGNAL  input_dataa_zero_dffe11_wi :   STD_LOGIC;
2770
         SIGNAL  input_dataa_zero_dffe11_wo :   STD_LOGIC;
2771
         SIGNAL  input_dataa_zero_w :   STD_LOGIC;
2772
         SIGNAL  input_datab_denormal_dffe11_wi :       STD_LOGIC;
2773
         SIGNAL  input_datab_denormal_dffe11_wo :       STD_LOGIC;
2774
         SIGNAL  input_datab_denormal_w :       STD_LOGIC;
2775
         SIGNAL  input_datab_infinite_dffe11_wi :       STD_LOGIC;
2776
         SIGNAL  input_datab_infinite_dffe11_wo :       STD_LOGIC;
2777
         SIGNAL  input_datab_infinite_dffe12_wi :       STD_LOGIC;
2778
         SIGNAL  input_datab_infinite_dffe12_wo :       STD_LOGIC;
2779
         SIGNAL  input_datab_infinite_dffe13_wi :       STD_LOGIC;
2780
         SIGNAL  input_datab_infinite_dffe13_wo :       STD_LOGIC;
2781
         SIGNAL  input_datab_infinite_dffe14_wi :       STD_LOGIC;
2782
         SIGNAL  input_datab_infinite_dffe14_wo :       STD_LOGIC;
2783
         SIGNAL  input_datab_infinite_dffe15_wi :       STD_LOGIC;
2784
         SIGNAL  input_datab_infinite_dffe15_wo :       STD_LOGIC;
2785
         SIGNAL  input_datab_infinite_w :       STD_LOGIC;
2786
         SIGNAL  input_datab_nan_dffe11_wi :    STD_LOGIC;
2787
         SIGNAL  input_datab_nan_dffe11_wo :    STD_LOGIC;
2788
         SIGNAL  input_datab_nan_dffe12_wi :    STD_LOGIC;
2789
         SIGNAL  input_datab_nan_dffe12_wo :    STD_LOGIC;
2790
         SIGNAL  input_datab_nan_w :    STD_LOGIC;
2791
         SIGNAL  input_datab_zero_dffe11_wi :   STD_LOGIC;
2792
         SIGNAL  input_datab_zero_dffe11_wo :   STD_LOGIC;
2793
         SIGNAL  input_datab_zero_w :   STD_LOGIC;
2794
         SIGNAL  input_is_infinite_dffe1_wi :   STD_LOGIC;
2795
         SIGNAL  input_is_infinite_dffe1_wo :   STD_LOGIC;
2796
         SIGNAL  input_is_infinite_dffe21_wi :  STD_LOGIC;
2797
         SIGNAL  input_is_infinite_dffe21_wo :  STD_LOGIC;
2798
         SIGNAL  input_is_infinite_dffe22_wi :  STD_LOGIC;
2799
         SIGNAL  input_is_infinite_dffe22_wo :  STD_LOGIC;
2800
         SIGNAL  input_is_infinite_dffe23_wi :  STD_LOGIC;
2801
         SIGNAL  input_is_infinite_dffe23_wo :  STD_LOGIC;
2802
         SIGNAL  input_is_infinite_dffe25_wi :  STD_LOGIC;
2803
         SIGNAL  input_is_infinite_dffe25_wo :  STD_LOGIC;
2804
         SIGNAL  input_is_infinite_dffe26_wi :  STD_LOGIC;
2805
         SIGNAL  input_is_infinite_dffe26_wo :  STD_LOGIC;
2806
         SIGNAL  input_is_infinite_dffe27_wi :  STD_LOGIC;
2807
         SIGNAL  input_is_infinite_dffe27_wo :  STD_LOGIC;
2808
         SIGNAL  input_is_infinite_dffe2_wi :   STD_LOGIC;
2809
         SIGNAL  input_is_infinite_dffe2_wo :   STD_LOGIC;
2810
         SIGNAL  input_is_infinite_dffe31_wi :  STD_LOGIC;
2811
         SIGNAL  input_is_infinite_dffe31_wo :  STD_LOGIC;
2812
         SIGNAL  input_is_infinite_dffe32_wi :  STD_LOGIC;
2813
         SIGNAL  input_is_infinite_dffe32_wo :  STD_LOGIC;
2814
         SIGNAL  input_is_infinite_dffe33_wi :  STD_LOGIC;
2815
         SIGNAL  input_is_infinite_dffe33_wo :  STD_LOGIC;
2816
         SIGNAL  input_is_infinite_dffe3_wi :   STD_LOGIC;
2817
         SIGNAL  input_is_infinite_dffe3_wo :   STD_LOGIC;
2818
         SIGNAL  input_is_infinite_dffe41_wi :  STD_LOGIC;
2819
         SIGNAL  input_is_infinite_dffe41_wo :  STD_LOGIC;
2820
         SIGNAL  input_is_infinite_dffe42_wi :  STD_LOGIC;
2821
         SIGNAL  input_is_infinite_dffe42_wo :  STD_LOGIC;
2822
         SIGNAL  input_is_infinite_dffe4_wi :   STD_LOGIC;
2823
         SIGNAL  input_is_infinite_dffe4_wo :   STD_LOGIC;
2824
         SIGNAL  input_is_nan_dffe13_wi :       STD_LOGIC;
2825
         SIGNAL  input_is_nan_dffe13_wo :       STD_LOGIC;
2826
         SIGNAL  input_is_nan_dffe14_wi :       STD_LOGIC;
2827
         SIGNAL  input_is_nan_dffe14_wo :       STD_LOGIC;
2828
         SIGNAL  input_is_nan_dffe15_wi :       STD_LOGIC;
2829
         SIGNAL  input_is_nan_dffe15_wo :       STD_LOGIC;
2830
         SIGNAL  input_is_nan_dffe1_wi :        STD_LOGIC;
2831
         SIGNAL  input_is_nan_dffe1_wo :        STD_LOGIC;
2832
         SIGNAL  input_is_nan_dffe21_wi :       STD_LOGIC;
2833
         SIGNAL  input_is_nan_dffe21_wo :       STD_LOGIC;
2834
         SIGNAL  input_is_nan_dffe22_wi :       STD_LOGIC;
2835
         SIGNAL  input_is_nan_dffe22_wo :       STD_LOGIC;
2836
         SIGNAL  input_is_nan_dffe23_wi :       STD_LOGIC;
2837
         SIGNAL  input_is_nan_dffe23_wo :       STD_LOGIC;
2838
         SIGNAL  input_is_nan_dffe25_wi :       STD_LOGIC;
2839
         SIGNAL  input_is_nan_dffe25_wo :       STD_LOGIC;
2840
         SIGNAL  input_is_nan_dffe26_wi :       STD_LOGIC;
2841
         SIGNAL  input_is_nan_dffe26_wo :       STD_LOGIC;
2842
         SIGNAL  input_is_nan_dffe27_wi :       STD_LOGIC;
2843
         SIGNAL  input_is_nan_dffe27_wo :       STD_LOGIC;
2844
         SIGNAL  input_is_nan_dffe2_wi :        STD_LOGIC;
2845
         SIGNAL  input_is_nan_dffe2_wo :        STD_LOGIC;
2846
         SIGNAL  input_is_nan_dffe31_wi :       STD_LOGIC;
2847
         SIGNAL  input_is_nan_dffe31_wo :       STD_LOGIC;
2848
         SIGNAL  input_is_nan_dffe32_wi :       STD_LOGIC;
2849
         SIGNAL  input_is_nan_dffe32_wo :       STD_LOGIC;
2850
         SIGNAL  input_is_nan_dffe33_wi :       STD_LOGIC;
2851
         SIGNAL  input_is_nan_dffe33_wo :       STD_LOGIC;
2852
         SIGNAL  input_is_nan_dffe3_wi :        STD_LOGIC;
2853
         SIGNAL  input_is_nan_dffe3_wo :        STD_LOGIC;
2854
         SIGNAL  input_is_nan_dffe41_wi :       STD_LOGIC;
2855
         SIGNAL  input_is_nan_dffe41_wo :       STD_LOGIC;
2856
         SIGNAL  input_is_nan_dffe42_wi :       STD_LOGIC;
2857
         SIGNAL  input_is_nan_dffe42_wo :       STD_LOGIC;
2858
         SIGNAL  input_is_nan_dffe4_wi :        STD_LOGIC;
2859
         SIGNAL  input_is_nan_dffe4_wo :        STD_LOGIC;
2860
         SIGNAL  man_2comp_res_dataa_w :        STD_LOGIC_VECTOR (27 DOWNTO 0);
2861
         SIGNAL  man_2comp_res_datab_w :        STD_LOGIC_VECTOR (27 DOWNTO 0);
2862
         SIGNAL  man_2comp_res_w :      STD_LOGIC_VECTOR (27 DOWNTO 0);
2863
         SIGNAL  man_a_not_zero_w :     STD_LOGIC_VECTOR (22 DOWNTO 0);
2864
         SIGNAL  man_add_sub_dataa_w :  STD_LOGIC_VECTOR (27 DOWNTO 0);
2865
         SIGNAL  man_add_sub_datab_w :  STD_LOGIC_VECTOR (27 DOWNTO 0);
2866
         SIGNAL  man_add_sub_res_mag_dffe21_wi :        STD_LOGIC_VECTOR (25 DOWNTO 0);
2867
         SIGNAL  man_add_sub_res_mag_dffe21_wo :        STD_LOGIC_VECTOR (25 DOWNTO 0);
2868
         SIGNAL  man_add_sub_res_mag_dffe23_wi :        STD_LOGIC_VECTOR (25 DOWNTO 0);
2869
         SIGNAL  man_add_sub_res_mag_dffe23_wo :        STD_LOGIC_VECTOR (25 DOWNTO 0);
2870
         SIGNAL  man_add_sub_res_mag_dffe26_wi :        STD_LOGIC_VECTOR (25 DOWNTO 0);
2871
         SIGNAL  man_add_sub_res_mag_dffe26_wo :        STD_LOGIC_VECTOR (25 DOWNTO 0);
2872
         SIGNAL  man_add_sub_res_mag_dffe27_wi :        STD_LOGIC_VECTOR (27 DOWNTO 0);
2873
         SIGNAL  man_add_sub_res_mag_dffe27_wo :        STD_LOGIC_VECTOR (27 DOWNTO 0);
2874
         SIGNAL  man_add_sub_res_mag_w2 :       STD_LOGIC_VECTOR (27 DOWNTO 0);
2875
         SIGNAL  man_add_sub_res_sign_dffe21_wo :       STD_LOGIC;
2876
         SIGNAL  man_add_sub_res_sign_dffe23_wi :       STD_LOGIC;
2877
         SIGNAL  man_add_sub_res_sign_dffe23_wo :       STD_LOGIC;
2878
         SIGNAL  man_add_sub_res_sign_dffe26_wi :       STD_LOGIC;
2879
         SIGNAL  man_add_sub_res_sign_dffe26_wo :       STD_LOGIC;
2880
         SIGNAL  man_add_sub_res_sign_dffe27_wi :       STD_LOGIC;
2881
         SIGNAL  man_add_sub_res_sign_dffe27_wo :       STD_LOGIC;
2882
         SIGNAL  man_add_sub_res_sign_w2 :      STD_LOGIC;
2883
         SIGNAL  man_add_sub_w :        STD_LOGIC_VECTOR (27 DOWNTO 0);
2884
         SIGNAL  man_all_zeros_w :      STD_LOGIC_VECTOR (22 DOWNTO 0);
2885
         SIGNAL  man_b_not_zero_w :     STD_LOGIC_VECTOR (22 DOWNTO 0);
2886
         SIGNAL  man_dffe31_wo :        STD_LOGIC_VECTOR (25 DOWNTO 0);
2887
         SIGNAL  man_intermediate_res_w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
2888
         SIGNAL  man_leading_zeros_cnt_w :      STD_LOGIC_VECTOR (4 DOWNTO 0);
2889
         SIGNAL  man_leading_zeros_dffe31_wi :  STD_LOGIC_VECTOR (4 DOWNTO 0);
2890
         SIGNAL  man_leading_zeros_dffe31_wo :  STD_LOGIC_VECTOR (4 DOWNTO 0);
2891
         SIGNAL  man_nan_w :    STD_LOGIC_VECTOR (22 DOWNTO 0);
2892
         SIGNAL  man_out_dffe5_wi :     STD_LOGIC_VECTOR (22 DOWNTO 0);
2893
         SIGNAL  man_out_dffe5_wo :     STD_LOGIC_VECTOR (22 DOWNTO 0);
2894
         SIGNAL  man_res_dffe4_wi :     STD_LOGIC_VECTOR (22 DOWNTO 0);
2895
         SIGNAL  man_res_dffe4_wo :     STD_LOGIC_VECTOR (22 DOWNTO 0);
2896
         SIGNAL  man_res_is_not_zero_dffe31_wi :        STD_LOGIC;
2897
         SIGNAL  man_res_is_not_zero_dffe31_wo :        STD_LOGIC;
2898
         SIGNAL  man_res_is_not_zero_dffe32_wi :        STD_LOGIC;
2899
         SIGNAL  man_res_is_not_zero_dffe32_wo :        STD_LOGIC;
2900
         SIGNAL  man_res_is_not_zero_dffe33_wi :        STD_LOGIC;
2901
         SIGNAL  man_res_is_not_zero_dffe33_wo :        STD_LOGIC;
2902
         SIGNAL  man_res_is_not_zero_dffe3_wi : STD_LOGIC;
2903
         SIGNAL  man_res_is_not_zero_dffe3_wo : STD_LOGIC;
2904
         SIGNAL  man_res_is_not_zero_dffe41_wi :        STD_LOGIC;
2905
         SIGNAL  man_res_is_not_zero_dffe41_wo :        STD_LOGIC;
2906
         SIGNAL  man_res_is_not_zero_dffe42_wi :        STD_LOGIC;
2907
         SIGNAL  man_res_is_not_zero_dffe42_wo :        STD_LOGIC;
2908
         SIGNAL  man_res_is_not_zero_dffe4_wi : STD_LOGIC;
2909
         SIGNAL  man_res_is_not_zero_dffe4_wo : STD_LOGIC;
2910
         SIGNAL  man_res_mag_w2 :       STD_LOGIC_VECTOR (25 DOWNTO 0);
2911
         SIGNAL  man_res_not_zero_dffe23_wi :   STD_LOGIC;
2912
         SIGNAL  man_res_not_zero_dffe23_wo :   STD_LOGIC;
2913
         SIGNAL  man_res_not_zero_dffe26_wi :   STD_LOGIC;
2914
         SIGNAL  man_res_not_zero_dffe26_wo :   STD_LOGIC;
2915
         SIGNAL  man_res_not_zero_w2 :  STD_LOGIC_VECTOR (24 DOWNTO 0);
2916
         SIGNAL  man_res_rounding_add_sub_datab_w :     STD_LOGIC_VECTOR (25 DOWNTO 0);
2917
         SIGNAL  man_res_rounding_add_sub_w :   STD_LOGIC_VECTOR (25 DOWNTO 0);
2918
         SIGNAL  man_res_w3 :   STD_LOGIC_VECTOR (23 DOWNTO 0);
2919
         SIGNAL  man_rounded_res_w :    STD_LOGIC_VECTOR (22 DOWNTO 0);
2920
         SIGNAL  man_rounding_add_value_w :     STD_LOGIC;
2921
         SIGNAL  man_smaller_dffe13_wi :        STD_LOGIC_VECTOR (23 DOWNTO 0);
2922
         SIGNAL  man_smaller_dffe13_wo :        STD_LOGIC_VECTOR (23 DOWNTO 0);
2923
         SIGNAL  man_smaller_w :        STD_LOGIC_VECTOR (23 DOWNTO 0);
2924
         SIGNAL  nan_flag_dffe5_wi :    STD_LOGIC;
2925
         SIGNAL  nan_flag_dffe5_wo :    STD_LOGIC;
2926
         SIGNAL  nan_flag_w :   STD_LOGIC;
2927
         SIGNAL  need_complement_dffe22_wi :    STD_LOGIC;
2928
         SIGNAL  need_complement_dffe22_wo :    STD_LOGIC;
2929
         SIGNAL  need_complement_dffe2_wi :     STD_LOGIC;
2930
         SIGNAL  need_complement_dffe2_wo :     STD_LOGIC;
2931
         SIGNAL  overflow_flag_dffe5_wi :       STD_LOGIC;
2932
         SIGNAL  overflow_flag_dffe5_wo :       STD_LOGIC;
2933
         SIGNAL  overflow_flag_w :      STD_LOGIC;
2934
         SIGNAL  pos_sign_bit_ext :     STD_LOGIC_VECTOR (1 DOWNTO 0);
2935
         SIGNAL  priority_encoder_1pads_w :     STD_LOGIC_VECTOR (3 DOWNTO 0);
2936
         SIGNAL  round_bit_dffe21_wi :  STD_LOGIC;
2937
         SIGNAL  round_bit_dffe21_wo :  STD_LOGIC;
2938
         SIGNAL  round_bit_dffe23_wi :  STD_LOGIC;
2939
         SIGNAL  round_bit_dffe23_wo :  STD_LOGIC;
2940
         SIGNAL  round_bit_dffe26_wi :  STD_LOGIC;
2941
         SIGNAL  round_bit_dffe26_wo :  STD_LOGIC;
2942
         SIGNAL  round_bit_dffe31_wi :  STD_LOGIC;
2943
         SIGNAL  round_bit_dffe31_wo :  STD_LOGIC;
2944
         SIGNAL  round_bit_dffe32_wi :  STD_LOGIC;
2945
         SIGNAL  round_bit_dffe32_wo :  STD_LOGIC;
2946
         SIGNAL  round_bit_dffe33_wi :  STD_LOGIC;
2947
         SIGNAL  round_bit_dffe33_wo :  STD_LOGIC;
2948
         SIGNAL  round_bit_dffe3_wi :   STD_LOGIC;
2949
         SIGNAL  round_bit_dffe3_wo :   STD_LOGIC;
2950
         SIGNAL  round_bit_w :  STD_LOGIC;
2951
         SIGNAL  rounded_res_infinity_dffe4_wi :        STD_LOGIC;
2952
         SIGNAL  rounded_res_infinity_dffe4_wo :        STD_LOGIC;
2953
         SIGNAL  rshift_distance_dffe13_wi :    STD_LOGIC_VECTOR (4 DOWNTO 0);
2954
         SIGNAL  rshift_distance_dffe13_wo :    STD_LOGIC_VECTOR (4 DOWNTO 0);
2955
         SIGNAL  rshift_distance_dffe14_wi :    STD_LOGIC_VECTOR (4 DOWNTO 0);
2956
         SIGNAL  rshift_distance_dffe14_wo :    STD_LOGIC_VECTOR (4 DOWNTO 0);
2957
         SIGNAL  rshift_distance_dffe15_wi :    STD_LOGIC_VECTOR (4 DOWNTO 0);
2958
         SIGNAL  rshift_distance_dffe15_wo :    STD_LOGIC_VECTOR (4 DOWNTO 0);
2959
         SIGNAL  rshift_distance_w :    STD_LOGIC_VECTOR (4 DOWNTO 0);
2960
         SIGNAL  sign_dffe31_wi :       STD_LOGIC;
2961
         SIGNAL  sign_dffe31_wo :       STD_LOGIC;
2962
         SIGNAL  sign_dffe32_wi :       STD_LOGIC;
2963
         SIGNAL  sign_dffe32_wo :       STD_LOGIC;
2964
         SIGNAL  sign_dffe33_wi :       STD_LOGIC;
2965
         SIGNAL  sign_dffe33_wo :       STD_LOGIC;
2966
         SIGNAL  sign_out_dffe5_wi :    STD_LOGIC;
2967
         SIGNAL  sign_out_dffe5_wo :    STD_LOGIC;
2968
         SIGNAL  sign_res_dffe3_wi :    STD_LOGIC;
2969
         SIGNAL  sign_res_dffe3_wo :    STD_LOGIC;
2970
         SIGNAL  sign_res_dffe41_wi :   STD_LOGIC;
2971
         SIGNAL  sign_res_dffe41_wo :   STD_LOGIC;
2972
         SIGNAL  sign_res_dffe42_wi :   STD_LOGIC;
2973
         SIGNAL  sign_res_dffe42_wo :   STD_LOGIC;
2974
         SIGNAL  sign_res_dffe4_wi :    STD_LOGIC;
2975
         SIGNAL  sign_res_dffe4_wo :    STD_LOGIC;
2976
         SIGNAL  sticky_bit_cnt_dataa_w :       STD_LOGIC_VECTOR (5 DOWNTO 0);
2977
         SIGNAL  sticky_bit_cnt_datab_w :       STD_LOGIC_VECTOR (5 DOWNTO 0);
2978
         SIGNAL  sticky_bit_cnt_res_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
2979
         SIGNAL  sticky_bit_dffe1_wi :  STD_LOGIC;
2980
         SIGNAL  sticky_bit_dffe1_wo :  STD_LOGIC;
2981
         SIGNAL  sticky_bit_dffe21_wi : STD_LOGIC;
2982
         SIGNAL  sticky_bit_dffe21_wo : STD_LOGIC;
2983
         SIGNAL  sticky_bit_dffe22_wi : STD_LOGIC;
2984
         SIGNAL  sticky_bit_dffe22_wo : STD_LOGIC;
2985
         SIGNAL  sticky_bit_dffe23_wi : STD_LOGIC;
2986
         SIGNAL  sticky_bit_dffe23_wo : STD_LOGIC;
2987
         SIGNAL  sticky_bit_dffe25_wi : STD_LOGIC;
2988
         SIGNAL  sticky_bit_dffe25_wo : STD_LOGIC;
2989
         SIGNAL  sticky_bit_dffe26_wi : STD_LOGIC;
2990
         SIGNAL  sticky_bit_dffe26_wo : STD_LOGIC;
2991
         SIGNAL  sticky_bit_dffe27_wi : STD_LOGIC;
2992
         SIGNAL  sticky_bit_dffe27_wo : STD_LOGIC;
2993
         SIGNAL  sticky_bit_dffe2_wi :  STD_LOGIC;
2994
         SIGNAL  sticky_bit_dffe2_wo :  STD_LOGIC;
2995
         SIGNAL  sticky_bit_dffe31_wi : STD_LOGIC;
2996
         SIGNAL  sticky_bit_dffe31_wo : STD_LOGIC;
2997
         SIGNAL  sticky_bit_dffe32_wi : STD_LOGIC;
2998
         SIGNAL  sticky_bit_dffe32_wo : STD_LOGIC;
2999
         SIGNAL  sticky_bit_dffe33_wi : STD_LOGIC;
3000
         SIGNAL  sticky_bit_dffe33_wo : STD_LOGIC;
3001
         SIGNAL  sticky_bit_dffe3_wi :  STD_LOGIC;
3002
         SIGNAL  sticky_bit_dffe3_wo :  STD_LOGIC;
3003
         SIGNAL  sticky_bit_w : STD_LOGIC;
3004
         SIGNAL  trailing_zeros_limit_w :       STD_LOGIC_VECTOR (5 DOWNTO 0);
3005
         SIGNAL  underflow_flag_dffe5_wi :      STD_LOGIC;
3006
         SIGNAL  underflow_flag_dffe5_wo :      STD_LOGIC;
3007
         SIGNAL  underflow_flag_w :     STD_LOGIC;
3008
         SIGNAL  zero_flag_n_dffe5_wi : STD_LOGIC;
3009
         SIGNAL  zero_flag_n_dffe5_wo : STD_LOGIC;
3010
         SIGNAL  zero_flag_n_w :        STD_LOGIC;
3011
         SIGNAL  zero_man_sign_dffe21_wi :      STD_LOGIC;
3012
         SIGNAL  zero_man_sign_dffe21_wo :      STD_LOGIC;
3013
         SIGNAL  zero_man_sign_dffe22_wi :      STD_LOGIC;
3014
         SIGNAL  zero_man_sign_dffe22_wo :      STD_LOGIC;
3015
         SIGNAL  zero_man_sign_dffe23_wi :      STD_LOGIC;
3016
         SIGNAL  zero_man_sign_dffe23_wo :      STD_LOGIC;
3017
         SIGNAL  zero_man_sign_dffe26_wi :      STD_LOGIC;
3018
         SIGNAL  zero_man_sign_dffe26_wo :      STD_LOGIC;
3019
         SIGNAL  zero_man_sign_dffe27_wi :      STD_LOGIC;
3020
         SIGNAL  zero_man_sign_dffe27_wo :      STD_LOGIC;
3021
         SIGNAL  zero_man_sign_dffe2_wi :       STD_LOGIC;
3022
         SIGNAL  zero_man_sign_dffe2_wo :       STD_LOGIC;
3023
         SIGNAL  wire_w_aligned_dataa_exp_dffe15_wo_range315w   :       STD_LOGIC_VECTOR (7 DOWNTO 0);
3024
         SIGNAL  wire_w_aligned_datab_exp_dffe15_wo_range313w   :       STD_LOGIC_VECTOR (7 DOWNTO 0);
3025
         SIGNAL  wire_w_dataa_range141w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3026
         SIGNAL  wire_w_dataa_range147w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3027
         SIGNAL  wire_w_dataa_range153w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3028
         SIGNAL  wire_w_dataa_range159w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3029
         SIGNAL  wire_w_dataa_range165w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3030
         SIGNAL  wire_w_dataa_range171w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3031
         SIGNAL  wire_w_dataa_range177w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3032
         SIGNAL  wire_w_dataa_range183w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3033
         SIGNAL  wire_w_dataa_range189w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3034
         SIGNAL  wire_w_dataa_range195w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3035
         SIGNAL  wire_w_dataa_range87w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3036
         SIGNAL  wire_w_dataa_range201w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3037
         SIGNAL  wire_w_dataa_range207w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3038
         SIGNAL  wire_w_dataa_range213w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3039
         SIGNAL  wire_w_dataa_range17w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3040
         SIGNAL  wire_w_dataa_range27w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3041
         SIGNAL  wire_w_dataa_range37w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3042
         SIGNAL  wire_w_dataa_range47w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3043
         SIGNAL  wire_w_dataa_range57w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3044
         SIGNAL  wire_w_dataa_range67w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3045
         SIGNAL  wire_w_dataa_range93w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3046
         SIGNAL  wire_w_dataa_range77w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3047
         SIGNAL  wire_w_dataa_range99w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3048
         SIGNAL  wire_w_dataa_range105w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3049
         SIGNAL  wire_w_dataa_range111w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3050
         SIGNAL  wire_w_dataa_range117w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3051
         SIGNAL  wire_w_dataa_range123w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3052
         SIGNAL  wire_w_dataa_range129w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3053
         SIGNAL  wire_w_dataa_range135w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3054
         SIGNAL  wire_w_dataa_dffe11_wo_range242w       :       STD_LOGIC_VECTOR (22 DOWNTO 0);
3055
         SIGNAL  wire_w_dataa_dffe11_wo_range232w       :       STD_LOGIC_VECTOR (7 DOWNTO 0);
3056
         SIGNAL  wire_w_datab_range144w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3057
         SIGNAL  wire_w_datab_range150w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3058
         SIGNAL  wire_w_datab_range156w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3059
         SIGNAL  wire_w_datab_range162w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3060
         SIGNAL  wire_w_datab_range168w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3061
         SIGNAL  wire_w_datab_range174w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3062
         SIGNAL  wire_w_datab_range180w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3063
         SIGNAL  wire_w_datab_range186w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3064
         SIGNAL  wire_w_datab_range192w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3065
         SIGNAL  wire_w_datab_range198w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3066
         SIGNAL  wire_w_datab_range90w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3067
         SIGNAL  wire_w_datab_range204w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3068
         SIGNAL  wire_w_datab_range210w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3069
         SIGNAL  wire_w_datab_range216w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3070
         SIGNAL  wire_w_datab_range20w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3071
         SIGNAL  wire_w_datab_range30w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3072
         SIGNAL  wire_w_datab_range40w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3073
         SIGNAL  wire_w_datab_range50w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3074
         SIGNAL  wire_w_datab_range60w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3075
         SIGNAL  wire_w_datab_range70w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3076
         SIGNAL  wire_w_datab_range96w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3077
         SIGNAL  wire_w_datab_range80w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3078
         SIGNAL  wire_w_datab_range102w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3079
         SIGNAL  wire_w_datab_range108w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3080
         SIGNAL  wire_w_datab_range114w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3081
         SIGNAL  wire_w_datab_range120w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3082
         SIGNAL  wire_w_datab_range126w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3083
         SIGNAL  wire_w_datab_range132w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3084
         SIGNAL  wire_w_datab_range138w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3085
         SIGNAL  wire_w_datab_dffe11_wo_range261w       :       STD_LOGIC_VECTOR (22 DOWNTO 0);
3086
         SIGNAL  wire_w_datab_dffe11_wo_range251w       :       STD_LOGIC_VECTOR (7 DOWNTO 0);
3087
         SIGNAL  wire_w_exp_a_all_one_w_range7w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3088
         SIGNAL  wire_w_exp_a_all_one_w_range24w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3089
         SIGNAL  wire_w_exp_a_all_one_w_range34w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3090
         SIGNAL  wire_w_exp_a_all_one_w_range44w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3091
         SIGNAL  wire_w_exp_a_all_one_w_range54w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3092
         SIGNAL  wire_w_exp_a_all_one_w_range64w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3093
         SIGNAL  wire_w_exp_a_all_one_w_range74w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3094
         SIGNAL  wire_w_exp_a_all_one_w_range84w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3095
         SIGNAL  wire_w_exp_a_not_zero_w_range2w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3096
         SIGNAL  wire_w_exp_a_not_zero_w_range19w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3097
         SIGNAL  wire_w_exp_a_not_zero_w_range29w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3098
         SIGNAL  wire_w_exp_a_not_zero_w_range39w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3099
         SIGNAL  wire_w_exp_a_not_zero_w_range49w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3100
         SIGNAL  wire_w_exp_a_not_zero_w_range59w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3101
         SIGNAL  wire_w_exp_a_not_zero_w_range69w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3102
         SIGNAL  wire_w_exp_adjustment2_add_sub_w_range518w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3103
         SIGNAL  wire_w_exp_adjustment2_add_sub_w_range521w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3104
         SIGNAL  wire_w_exp_adjustment2_add_sub_w_range524w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3105
         SIGNAL  wire_w_exp_adjustment2_add_sub_w_range527w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3106
         SIGNAL  wire_w_exp_adjustment2_add_sub_w_range530w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3107
         SIGNAL  wire_w_exp_adjustment2_add_sub_w_range533w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3108
         SIGNAL  wire_w_exp_adjustment2_add_sub_w_range557w     :       STD_LOGIC_VECTOR (7 DOWNTO 0);
3109
         SIGNAL  wire_w_exp_adjustment2_add_sub_w_range536w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3110
         SIGNAL  wire_w_exp_adjustment2_add_sub_w_range511w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3111
         SIGNAL  wire_w_exp_amb_w_range275w     :       STD_LOGIC_VECTOR (7 DOWNTO 0);
3112
         SIGNAL  wire_w_exp_b_all_one_w_range9w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3113
         SIGNAL  wire_w_exp_b_all_one_w_range26w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3114
         SIGNAL  wire_w_exp_b_all_one_w_range36w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3115
         SIGNAL  wire_w_exp_b_all_one_w_range46w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3116
         SIGNAL  wire_w_exp_b_all_one_w_range56w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3117
         SIGNAL  wire_w_exp_b_all_one_w_range66w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3118
         SIGNAL  wire_w_exp_b_all_one_w_range76w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3119
         SIGNAL  wire_w_exp_b_all_one_w_range86w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3120
         SIGNAL  wire_w_exp_b_not_zero_w_range5w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3121
         SIGNAL  wire_w_exp_b_not_zero_w_range22w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3122
         SIGNAL  wire_w_exp_b_not_zero_w_range32w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3123
         SIGNAL  wire_w_exp_b_not_zero_w_range42w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3124
         SIGNAL  wire_w_exp_b_not_zero_w_range52w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3125
         SIGNAL  wire_w_exp_b_not_zero_w_range62w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3126
         SIGNAL  wire_w_exp_b_not_zero_w_range72w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3127
         SIGNAL  wire_w_exp_bma_w_range273w     :       STD_LOGIC_VECTOR (7 DOWNTO 0);
3128
         SIGNAL  wire_w_exp_diff_abs_exceed_max_w_range283w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3129
         SIGNAL  wire_w_exp_diff_abs_exceed_max_w_range287w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3130
         SIGNAL  wire_w_exp_diff_abs_exceed_max_w_range290w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3131
         SIGNAL  wire_w_exp_diff_abs_w_range291w        :       STD_LOGIC_VECTOR (4 DOWNTO 0);
3132
         SIGNAL  wire_w_exp_diff_abs_w_range285w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3133
         SIGNAL  wire_w_exp_diff_abs_w_range288w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3134
         SIGNAL  wire_w_exp_res_max_w_range540w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3135
         SIGNAL  wire_w_exp_res_max_w_range543w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3136
         SIGNAL  wire_w_exp_res_max_w_range545w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3137
         SIGNAL  wire_w_exp_res_max_w_range547w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3138
         SIGNAL  wire_w_exp_res_max_w_range549w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3139
         SIGNAL  wire_w_exp_res_max_w_range551w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3140
         SIGNAL  wire_w_exp_res_max_w_range553w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3141
         SIGNAL  wire_w_exp_res_max_w_range555w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3142
         SIGNAL  wire_w_exp_res_not_zero_w_range516w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3143
         SIGNAL  wire_w_exp_res_not_zero_w_range520w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3144
         SIGNAL  wire_w_exp_res_not_zero_w_range523w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3145
         SIGNAL  wire_w_exp_res_not_zero_w_range526w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3146
         SIGNAL  wire_w_exp_res_not_zero_w_range529w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3147
         SIGNAL  wire_w_exp_res_not_zero_w_range532w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3148
         SIGNAL  wire_w_exp_res_not_zero_w_range535w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3149
         SIGNAL  wire_w_exp_res_not_zero_w_range538w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3150
         SIGNAL  wire_w_exp_rounded_res_max_w_range601w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3151
         SIGNAL  wire_w_exp_rounded_res_max_w_range605w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3152
         SIGNAL  wire_w_exp_rounded_res_max_w_range608w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3153
         SIGNAL  wire_w_exp_rounded_res_max_w_range611w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3154
         SIGNAL  wire_w_exp_rounded_res_max_w_range614w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3155
         SIGNAL  wire_w_exp_rounded_res_max_w_range617w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3156
         SIGNAL  wire_w_exp_rounded_res_max_w_range620w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3157
         SIGNAL  wire_w_exp_rounded_res_w_range603w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3158
         SIGNAL  wire_w_exp_rounded_res_w_range606w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3159
         SIGNAL  wire_w_exp_rounded_res_w_range609w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3160
         SIGNAL  wire_w_exp_rounded_res_w_range612w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3161
         SIGNAL  wire_w_exp_rounded_res_w_range615w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3162
         SIGNAL  wire_w_exp_rounded_res_w_range618w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3163
         SIGNAL  wire_w_exp_rounded_res_w_range621w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3164
         SIGNAL  wire_w_man_a_not_zero_w_range12w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3165
         SIGNAL  wire_w_man_a_not_zero_w_range143w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3166
         SIGNAL  wire_w_man_a_not_zero_w_range149w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3167
         SIGNAL  wire_w_man_a_not_zero_w_range155w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3168
         SIGNAL  wire_w_man_a_not_zero_w_range161w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3169
         SIGNAL  wire_w_man_a_not_zero_w_range167w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3170
         SIGNAL  wire_w_man_a_not_zero_w_range173w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3171
         SIGNAL  wire_w_man_a_not_zero_w_range179w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3172
         SIGNAL  wire_w_man_a_not_zero_w_range185w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3173
         SIGNAL  wire_w_man_a_not_zero_w_range191w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3174
         SIGNAL  wire_w_man_a_not_zero_w_range197w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3175
         SIGNAL  wire_w_man_a_not_zero_w_range89w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3176
         SIGNAL  wire_w_man_a_not_zero_w_range203w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3177
         SIGNAL  wire_w_man_a_not_zero_w_range209w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3178
         SIGNAL  wire_w_man_a_not_zero_w_range215w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3179
         SIGNAL  wire_w_man_a_not_zero_w_range95w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3180
         SIGNAL  wire_w_man_a_not_zero_w_range101w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3181
         SIGNAL  wire_w_man_a_not_zero_w_range107w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3182
         SIGNAL  wire_w_man_a_not_zero_w_range113w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3183
         SIGNAL  wire_w_man_a_not_zero_w_range119w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3184
         SIGNAL  wire_w_man_a_not_zero_w_range125w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3185
         SIGNAL  wire_w_man_a_not_zero_w_range131w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3186
         SIGNAL  wire_w_man_a_not_zero_w_range137w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3187
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range443w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3188
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range446w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3189
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range449w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3190
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range452w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3191
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range455w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3192
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range458w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3193
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range461w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3194
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range464w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3195
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range467w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3196
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range470w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3197
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range473w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3198
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range476w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3199
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range479w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3200
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range482w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3201
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range485w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3202
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range488w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3203
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range419w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3204
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range422w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3205
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range425w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3206
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range428w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3207
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range431w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3208
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range434w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3209
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range437w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3210
         SIGNAL  wire_w_man_add_sub_res_mag_dffe21_wo_range440w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3211
         SIGNAL  wire_w_man_add_sub_res_mag_dffe27_wo_range396w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3212
         SIGNAL  wire_w_man_add_sub_res_mag_dffe27_wo_range411w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
3213
         SIGNAL  wire_w_man_add_sub_res_mag_dffe27_wo_range387w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3214
         SIGNAL  wire_w_man_add_sub_res_mag_dffe27_wo_range413w :       STD_LOGIC_VECTOR (25 DOWNTO 0);
3215
         SIGNAL  wire_w_man_add_sub_res_mag_dffe27_wo_range381w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3216
         SIGNAL  wire_w_man_add_sub_w_range372w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3217
         SIGNAL  wire_w_man_b_not_zero_w_range15w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3218
         SIGNAL  wire_w_man_b_not_zero_w_range146w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3219
         SIGNAL  wire_w_man_b_not_zero_w_range152w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3220
         SIGNAL  wire_w_man_b_not_zero_w_range158w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3221
         SIGNAL  wire_w_man_b_not_zero_w_range164w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3222
         SIGNAL  wire_w_man_b_not_zero_w_range170w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3223
         SIGNAL  wire_w_man_b_not_zero_w_range176w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3224
         SIGNAL  wire_w_man_b_not_zero_w_range182w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3225
         SIGNAL  wire_w_man_b_not_zero_w_range188w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3226
         SIGNAL  wire_w_man_b_not_zero_w_range194w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3227
         SIGNAL  wire_w_man_b_not_zero_w_range200w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3228
         SIGNAL  wire_w_man_b_not_zero_w_range92w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3229
         SIGNAL  wire_w_man_b_not_zero_w_range206w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3230
         SIGNAL  wire_w_man_b_not_zero_w_range212w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3231
         SIGNAL  wire_w_man_b_not_zero_w_range218w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3232
         SIGNAL  wire_w_man_b_not_zero_w_range98w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3233
         SIGNAL  wire_w_man_b_not_zero_w_range104w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3234
         SIGNAL  wire_w_man_b_not_zero_w_range110w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3235
         SIGNAL  wire_w_man_b_not_zero_w_range116w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3236
         SIGNAL  wire_w_man_b_not_zero_w_range122w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3237
         SIGNAL  wire_w_man_b_not_zero_w_range128w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3238
         SIGNAL  wire_w_man_b_not_zero_w_range134w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3239
         SIGNAL  wire_w_man_b_not_zero_w_range140w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3240
         SIGNAL  wire_w_man_res_not_zero_w2_range417w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3241
         SIGNAL  wire_w_man_res_not_zero_w2_range448w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3242
         SIGNAL  wire_w_man_res_not_zero_w2_range451w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3243
         SIGNAL  wire_w_man_res_not_zero_w2_range454w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3244
         SIGNAL  wire_w_man_res_not_zero_w2_range457w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3245
         SIGNAL  wire_w_man_res_not_zero_w2_range460w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3246
         SIGNAL  wire_w_man_res_not_zero_w2_range463w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3247
         SIGNAL  wire_w_man_res_not_zero_w2_range466w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3248
         SIGNAL  wire_w_man_res_not_zero_w2_range469w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3249
         SIGNAL  wire_w_man_res_not_zero_w2_range472w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3250
         SIGNAL  wire_w_man_res_not_zero_w2_range475w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3251
         SIGNAL  wire_w_man_res_not_zero_w2_range421w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3252
         SIGNAL  wire_w_man_res_not_zero_w2_range478w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3253
         SIGNAL  wire_w_man_res_not_zero_w2_range481w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3254
         SIGNAL  wire_w_man_res_not_zero_w2_range484w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3255
         SIGNAL  wire_w_man_res_not_zero_w2_range487w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3256
         SIGNAL  wire_w_man_res_not_zero_w2_range424w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3257
         SIGNAL  wire_w_man_res_not_zero_w2_range427w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3258
         SIGNAL  wire_w_man_res_not_zero_w2_range430w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3259
         SIGNAL  wire_w_man_res_not_zero_w2_range433w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3260
         SIGNAL  wire_w_man_res_not_zero_w2_range436w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3261
         SIGNAL  wire_w_man_res_not_zero_w2_range439w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3262
         SIGNAL  wire_w_man_res_not_zero_w2_range442w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3263
         SIGNAL  wire_w_man_res_not_zero_w2_range445w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3264
         SIGNAL  wire_w_man_res_rounding_add_sub_w_range584w    :       STD_LOGIC_VECTOR (22 DOWNTO 0);
3265
         SIGNAL  wire_w_man_res_rounding_add_sub_w_range588w    :       STD_LOGIC_VECTOR (22 DOWNTO 0);
3266
         SIGNAL  wire_w_man_res_rounding_add_sub_w_range585w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
3267
         COMPONENT  CI_ALTFP_ADD_SUB_altbarrel_shift_1qd
3268
         PORT
3269
         (
3270
                aclr    :       IN  STD_LOGIC := '0';
3271
                clk_en  :       IN  STD_LOGIC := '1';
3272
                clock   :       IN  STD_LOGIC := '0';
3273
                data    :       IN  STD_LOGIC_VECTOR(25 DOWNTO 0);
3274
                distance        :       IN  STD_LOGIC_VECTOR(4 DOWNTO 0);
3275
                result  :       OUT  STD_LOGIC_VECTOR(25 DOWNTO 0)
3276
         );
3277
         END COMPONENT;
3278
         COMPONENT  CI_ALTFP_ADD_SUB_altbarrel_shift_7tf
3279
         PORT
3280
         (
3281
                aclr    :       IN  STD_LOGIC := '0';
3282
                clk_en  :       IN  STD_LOGIC := '1';
3283
                clock   :       IN  STD_LOGIC := '0';
3284
                data    :       IN  STD_LOGIC_VECTOR(25 DOWNTO 0);
3285
                distance        :       IN  STD_LOGIC_VECTOR(4 DOWNTO 0);
3286
                result  :       OUT  STD_LOGIC_VECTOR(25 DOWNTO 0)
3287
         );
3288
         END COMPONENT;
3289
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_ou8
3290
         PORT
3291
         (
3292
                aclr    :       IN  STD_LOGIC := '0';
3293
                clk_en  :       IN  STD_LOGIC := '1';
3294
                clock   :       IN  STD_LOGIC := '0';
3295
                data    :       IN  STD_LOGIC_VECTOR(31 DOWNTO 0);
3296
                q       :       OUT  STD_LOGIC_VECTOR(4 DOWNTO 0)
3297
         );
3298
         END COMPONENT;
3299
         COMPONENT  CI_ALTFP_ADD_SUB_altpriority_encoder_cna
3300
         PORT
3301
         (
3302
                aclr    :       IN  STD_LOGIC := '0';
3303
                clk_en  :       IN  STD_LOGIC := '1';
3304
                clock   :       IN  STD_LOGIC := '0';
3305
                data    :       IN  STD_LOGIC_VECTOR(31 DOWNTO 0);
3306
                q       :       OUT  STD_LOGIC_VECTOR(4 DOWNTO 0)
3307
         );
3308
         END COMPONENT;
3309
         COMPONENT  lpm_add_sub
3310
         GENERIC
3311
         (
3312
                LPM_DIRECTION   :       STRING := "DEFAULT";
3313
                LPM_PIPELINE    :       NATURAL := 0;
3314
                LPM_REPRESENTATION      :       STRING := "SIGNED";
3315
                LPM_WIDTH       :       NATURAL;
3316
                lpm_hint        :       STRING := "UNUSED";
3317
                lpm_type        :       STRING := "lpm_add_sub"
3318
         );
3319
         PORT
3320
         (
3321
                aclr    :       IN STD_LOGIC := '0';
3322
                add_sub :       IN STD_LOGIC := '1';
3323
                cin     :       IN STD_LOGIC := 'Z';
3324
                clken   :       IN STD_LOGIC := '1';
3325
                clock   :       IN STD_LOGIC := '0';
3326
                cout    :       OUT STD_LOGIC;
3327
                dataa   :       IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
3328
                datab   :       IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
3329
                overflow        :       OUT STD_LOGIC;
3330
                result  :       OUT STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0)
3331
         );
3332
         END COMPONENT;
3333
         COMPONENT  lpm_compare
3334
         GENERIC
3335
         (
3336
                LPM_PIPELINE    :       NATURAL := 0;
3337
                LPM_REPRESENTATION      :       STRING := "UNSIGNED";
3338
                LPM_WIDTH       :       NATURAL;
3339
                lpm_hint        :       STRING := "UNUSED";
3340
                lpm_type        :       STRING := "lpm_compare"
3341
         );
3342
         PORT
3343
         (
3344
                aclr    :       IN STD_LOGIC := '0';
3345
                aeb     :       OUT STD_LOGIC;
3346
                agb     :       OUT STD_LOGIC;
3347
                ageb    :       OUT STD_LOGIC;
3348
                alb     :       OUT STD_LOGIC;
3349
                aleb    :       OUT STD_LOGIC;
3350
                aneb    :       OUT STD_LOGIC;
3351
                clken   :       IN STD_LOGIC := '1';
3352
                clock   :       IN STD_LOGIC := '0';
3353
                dataa   :       IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
3354
                datab   :       IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0')
3355
         );
3356
         END COMPONENT;
3357
 BEGIN
3358
 
3359
        wire_gnd <= '0';
3360
        wire_vcc <= '1';
3361
        wire_w248w(0) <= wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w(0) AND wire_w_lg_input_dataa_zero_dffe11_wo245w(0);
3362
        wire_w267w(0) <= wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w(0) AND wire_w_lg_input_datab_zero_dffe11_wo264w(0);
3363
        wire_w_lg_w397w407w(0) <= wire_w397w(0) AND sticky_bit_dffe27_wo;
3364
        loop81 : FOR i IN 0 TO 7 GENERATE
3365
                wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w(i) <= wire_w_lg_w_lg_force_zero_w634w635w(0) AND exp_res_dffe4_wo(i);
3366
        END GENERATE loop81;
3367
        loop82 : FOR i IN 0 TO 22 GENERATE
3368
                wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w(i) <= wire_w_lg_w_lg_force_zero_w634w635w(0) AND man_res_dffe4_wo(i);
3369
        END GENERATE loop82;
3370
        loop83 : FOR i IN 0 TO 7 GENERATE
3371
                wire_w_lg_w_lg_denormal_result_w558w559w(i) <= wire_w_lg_denormal_result_w558w(0) AND wire_w_exp_adjustment2_add_sub_w_range557w(i);
3372
        END GENERATE loop83;
3373
        loop84 : FOR i IN 0 TO 25 GENERATE
3374
                wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND aligned_dataa_man_dffe15_w(i);
3375
        END GENERATE loop84;
3376
        loop85 : FOR i IN 0 TO 25 GENERATE
3377
                wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND wire_rbarrel_shift_result(i);
3378
        END GENERATE loop85;
3379
        loop86 : FOR i IN 0 TO 7 GENERATE
3380
                wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND wire_w_aligned_dataa_exp_dffe15_wo_range315w(i);
3381
        END GENERATE loop86;
3382
        loop87 : FOR i IN 0 TO 23 GENERATE
3383
                wire_w_lg_w_lg_exp_amb_mux_w276w279w(i) <= wire_w_lg_exp_amb_mux_w276w(0) AND aligned_datab_man_dffe12_wo(i);
3384
        END GENERATE loop87;
3385
        loop88 : FOR i IN 0 TO 7 GENERATE
3386
                wire_w_lg_w_lg_exp_amb_mux_w276w277w(i) <= wire_w_lg_exp_amb_mux_w276w(0) AND wire_w_exp_amb_w_range275w(i);
3387
        END GENERATE loop88;
3388
        loop89 : FOR i IN 0 TO 7 GENERATE
3389
                wire_w_lg_w_lg_force_infinity_w629w639w(i) <= wire_w_lg_force_infinity_w629w(0) AND wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w(i);
3390
        END GENERATE loop89;
3391
        loop90 : FOR i IN 0 TO 22 GENERATE
3392
                wire_w_lg_w_lg_force_infinity_w629w648w(i) <= wire_w_lg_force_infinity_w629w(0) AND wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w(i);
3393
        END GENERATE loop90;
3394
        wire_w_lg_w_lg_force_infinity_w629w654w(0) <= wire_w_lg_force_infinity_w629w(0) AND sign_res_dffe4_wo;
3395
        loop91 : FOR i IN 0 TO 7 GENERATE
3396
                wire_w_lg_w_lg_force_nan_w630w642w(i) <= wire_w_lg_force_nan_w630w(0) AND wire_w_lg_w_lg_force_infinity_w640w641w(i);
3397
        END GENERATE loop91;
3398
        loop92 : FOR i IN 0 TO 22 GENERATE
3399
                wire_w_lg_w_lg_force_nan_w630w651w(i) <= wire_w_lg_force_nan_w630w(0) AND wire_w_lg_w_lg_force_infinity_w649w650w(i);
3400
        END GENERATE loop92;
3401
        wire_w_lg_w_lg_force_nan_w630w659w(0) <= wire_w_lg_force_nan_w630w(0) AND force_infinity_w;
3402
        loop93 : FOR i IN 0 TO 22 GENERATE
3403
                wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w(i) <= wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) AND wire_w_dataa_dffe11_wo_range242w(i);
3404
        END GENERATE loop93;
3405
        loop94 : FOR i IN 0 TO 7 GENERATE
3406
                wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w(i) <= wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) AND wire_w_dataa_dffe11_wo_range232w(i);
3407
        END GENERATE loop94;
3408
        wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w(0) <= wire_w_lg_input_dataa_infinite_dffe11_wo246w(0) AND wire_w_lg_input_dataa_denormal_dffe11_wo233w(0);
3409
        loop95 : FOR i IN 0 TO 22 GENERATE
3410
                wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w(i) <= wire_w_lg_input_datab_denormal_dffe11_wo252w(0) AND wire_w_datab_dffe11_wo_range261w(i);
3411
        END GENERATE loop95;
3412
        loop96 : FOR i IN 0 TO 7 GENERATE
3413
                wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w(i) <= wire_w_lg_input_datab_denormal_dffe11_wo252w(0) AND wire_w_datab_dffe11_wo_range251w(i);
3414
        END GENERATE loop96;
3415
        wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w(0) <= wire_w_lg_input_datab_infinite_dffe11_wo265w(0) AND wire_w_lg_input_datab_denormal_dffe11_wo252w(0);
3416
        wire_w_lg_w_lg_input_datab_infinite_dffe15_wo337w338w(0) <= wire_w_lg_input_datab_infinite_dffe15_wo337w(0) AND aligned_dataa_sign_dffe15_wo;
3417
        wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w(0) <= wire_w_lg_man_res_not_zero_dffe26_wo503w(0) AND zero_man_sign_dffe26_wo;
3418
        loop97 : FOR i IN 0 TO 4 GENERATE
3419
                wire_w293w(i) <= wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w292w(0) AND wire_w_exp_diff_abs_w_range291w(i);
3420
        END GENERATE loop97;
3421
        wire_w397w(0) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0);
3422
        loop98 : FOR i IN 0 TO 1 GENERATE
3423
                wire_w383w(i) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND exp_adjust_by_add1(i);
3424
        END GENERATE loop98;
3425
        loop99 : FOR i IN 0 TO 25 GENERATE
3426
                wire_w412w(i) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND wire_w_man_add_sub_res_mag_dffe27_wo_range411w(i);
3427
        END GENERATE loop99;
3428
        loop100 : FOR i IN 0 TO 27 GENERATE
3429
                wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w(i) <= wire_w_lg_w_man_add_sub_w_range372w375w(0) AND man_add_sub_w(i);
3430
        END GENERATE loop100;
3431
        loop101 : FOR i IN 0 TO 22 GENERATE
3432
                wire_w587w(i) <= wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w(0) AND wire_w_man_res_rounding_add_sub_w_range584w(i);
3433
        END GENERATE loop101;
3434
        loop102 : FOR i IN 0 TO 7 GENERATE
3435
                wire_w_lg_w_lg_force_zero_w634w637w(i) <= wire_w_lg_force_zero_w634w(0) AND exp_all_zeros_w(i);
3436
        END GENERATE loop102;
3437
        loop103 : FOR i IN 0 TO 22 GENERATE
3438
                wire_w_lg_w_lg_force_zero_w634w646w(i) <= wire_w_lg_force_zero_w634w(0) AND man_all_zeros_w(i);
3439
        END GENERATE loop103;
3440
        loop104 : FOR i IN 0 TO 25 GENERATE
3441
                wire_w_lg_exp_amb_mux_dffe15_wo330w(i) <= exp_amb_mux_dffe15_wo AND aligned_datab_man_dffe15_w(i);
3442
        END GENERATE loop104;
3443
        loop105 : FOR i IN 0 TO 25 GENERATE
3444
                wire_w_lg_exp_amb_mux_dffe15_wo323w(i) <= exp_amb_mux_dffe15_wo AND wire_rbarrel_shift_result(i);
3445
        END GENERATE loop105;
3446
        loop106 : FOR i IN 0 TO 7 GENERATE
3447
                wire_w_lg_exp_amb_mux_dffe15_wo314w(i) <= exp_amb_mux_dffe15_wo AND wire_w_aligned_datab_exp_dffe15_wo_range313w(i);
3448
        END GENERATE loop106;
3449
        loop107 : FOR i IN 0 TO 23 GENERATE
3450
                wire_w_lg_exp_amb_mux_w280w(i) <= exp_amb_mux_w AND aligned_dataa_man_dffe12_wo(i);
3451
        END GENERATE loop107;
3452
        loop108 : FOR i IN 0 TO 7 GENERATE
3453
                wire_w_lg_exp_amb_mux_w274w(i) <= exp_amb_mux_w AND wire_w_exp_bma_w_range273w(i);
3454
        END GENERATE loop108;
3455
        loop109 : FOR i IN 0 TO 7 GENERATE
3456
                wire_w_lg_force_infinity_w640w(i) <= force_infinity_w AND exp_all_ones_w(i);
3457
        END GENERATE loop109;
3458
        loop110 : FOR i IN 0 TO 22 GENERATE
3459
                wire_w_lg_force_infinity_w649w(i) <= force_infinity_w AND man_all_zeros_w(i);
3460
        END GENERATE loop110;
3461
        loop111 : FOR i IN 0 TO 7 GENERATE
3462
                wire_w_lg_force_nan_w643w(i) <= force_nan_w AND exp_all_ones_w(i);
3463
        END GENERATE loop111;
3464
        loop112 : FOR i IN 0 TO 22 GENERATE
3465
                wire_w_lg_force_nan_w652w(i) <= force_nan_w AND man_nan_w(i);
3466
        END GENERATE loop112;
3467
        wire_w_lg_need_complement_dffe22_wo376w(0) <= need_complement_dffe22_wo AND wire_w_lg_w_man_add_sub_w_range372w375w(0);
3468
        wire_w_lg_w_dataa_range17w23w(0) <= wire_w_dataa_range17w(0) AND wire_w_exp_a_all_one_w_range7w(0);
3469
        wire_w_lg_w_dataa_range27w33w(0) <= wire_w_dataa_range27w(0) AND wire_w_exp_a_all_one_w_range24w(0);
3470
        wire_w_lg_w_dataa_range37w43w(0) <= wire_w_dataa_range37w(0) AND wire_w_exp_a_all_one_w_range34w(0);
3471
        wire_w_lg_w_dataa_range47w53w(0) <= wire_w_dataa_range47w(0) AND wire_w_exp_a_all_one_w_range44w(0);
3472
        wire_w_lg_w_dataa_range57w63w(0) <= wire_w_dataa_range57w(0) AND wire_w_exp_a_all_one_w_range54w(0);
3473
        wire_w_lg_w_dataa_range67w73w(0) <= wire_w_dataa_range67w(0) AND wire_w_exp_a_all_one_w_range64w(0);
3474
        wire_w_lg_w_dataa_range77w83w(0) <= wire_w_dataa_range77w(0) AND wire_w_exp_a_all_one_w_range74w(0);
3475
        wire_w_lg_w_datab_range20w25w(0) <= wire_w_datab_range20w(0) AND wire_w_exp_b_all_one_w_range9w(0);
3476
        wire_w_lg_w_datab_range30w35w(0) <= wire_w_datab_range30w(0) AND wire_w_exp_b_all_one_w_range26w(0);
3477
        wire_w_lg_w_datab_range40w45w(0) <= wire_w_datab_range40w(0) AND wire_w_exp_b_all_one_w_range36w(0);
3478
        wire_w_lg_w_datab_range50w55w(0) <= wire_w_datab_range50w(0) AND wire_w_exp_b_all_one_w_range46w(0);
3479
        wire_w_lg_w_datab_range60w65w(0) <= wire_w_datab_range60w(0) AND wire_w_exp_b_all_one_w_range56w(0);
3480
        wire_w_lg_w_datab_range70w75w(0) <= wire_w_datab_range70w(0) AND wire_w_exp_b_all_one_w_range66w(0);
3481
        wire_w_lg_w_datab_range80w85w(0) <= wire_w_datab_range80w(0) AND wire_w_exp_b_all_one_w_range76w(0);
3482
        wire_w_lg_w_exp_a_all_one_w_range84w220w(0) <= wire_w_exp_a_all_one_w_range84w(0) AND wire_w_lg_w_man_a_not_zero_w_range215w219w(0);
3483
        wire_w_lg_w_exp_b_all_one_w_range86w226w(0) <= wire_w_exp_b_all_one_w_range86w(0) AND wire_w_lg_w_man_b_not_zero_w_range218w225w(0);
3484
        loop113 : FOR i IN 0 TO 4 GENERATE
3485
                wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w294w(i) <= wire_w_exp_diff_abs_exceed_max_w_range290w(0) AND exp_diff_abs_max_w(i);
3486
        END GENERATE loop113;
3487
        wire_w_lg_w_exp_res_max_w_range540w542w(0) <= wire_w_exp_res_max_w_range540w(0) AND wire_w_exp_adjustment2_add_sub_w_range518w(0);
3488
        wire_w_lg_w_exp_res_max_w_range543w544w(0) <= wire_w_exp_res_max_w_range543w(0) AND wire_w_exp_adjustment2_add_sub_w_range521w(0);
3489
        wire_w_lg_w_exp_res_max_w_range545w546w(0) <= wire_w_exp_res_max_w_range545w(0) AND wire_w_exp_adjustment2_add_sub_w_range524w(0);
3490
        wire_w_lg_w_exp_res_max_w_range547w548w(0) <= wire_w_exp_res_max_w_range547w(0) AND wire_w_exp_adjustment2_add_sub_w_range527w(0);
3491
        wire_w_lg_w_exp_res_max_w_range549w550w(0) <= wire_w_exp_res_max_w_range549w(0) AND wire_w_exp_adjustment2_add_sub_w_range530w(0);
3492
        wire_w_lg_w_exp_res_max_w_range551w552w(0) <= wire_w_exp_res_max_w_range551w(0) AND wire_w_exp_adjustment2_add_sub_w_range533w(0);
3493
        wire_w_lg_w_exp_res_max_w_range553w554w(0) <= wire_w_exp_res_max_w_range553w(0) AND wire_w_exp_adjustment2_add_sub_w_range536w(0);
3494
        wire_w_lg_w_exp_res_max_w_range555w561w(0) <= wire_w_exp_res_max_w_range555w(0) AND wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w(0);
3495
        wire_w_lg_w_exp_rounded_res_max_w_range601w604w(0) <= wire_w_exp_rounded_res_max_w_range601w(0) AND wire_w_exp_rounded_res_w_range603w(0);
3496
        wire_w_lg_w_exp_rounded_res_max_w_range605w607w(0) <= wire_w_exp_rounded_res_max_w_range605w(0) AND wire_w_exp_rounded_res_w_range606w(0);
3497
        wire_w_lg_w_exp_rounded_res_max_w_range608w610w(0) <= wire_w_exp_rounded_res_max_w_range608w(0) AND wire_w_exp_rounded_res_w_range609w(0);
3498
        wire_w_lg_w_exp_rounded_res_max_w_range611w613w(0) <= wire_w_exp_rounded_res_max_w_range611w(0) AND wire_w_exp_rounded_res_w_range612w(0);
3499
        wire_w_lg_w_exp_rounded_res_max_w_range614w616w(0) <= wire_w_exp_rounded_res_max_w_range614w(0) AND wire_w_exp_rounded_res_w_range615w(0);
3500
        wire_w_lg_w_exp_rounded_res_max_w_range617w619w(0) <= wire_w_exp_rounded_res_max_w_range617w(0) AND wire_w_exp_rounded_res_w_range618w(0);
3501
        wire_w_lg_w_exp_rounded_res_max_w_range620w622w(0) <= wire_w_exp_rounded_res_max_w_range620w(0) AND wire_w_exp_rounded_res_w_range621w(0);
3502
        wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0);
3503
        loop114 : FOR i IN 0 TO 1 GENERATE
3504
                wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w(i) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND exp_adjust_by_add2(i);
3505
        END GENERATE loop114;
3506
        loop115 : FOR i IN 0 TO 25 GENERATE
3507
                wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w(i) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND wire_w_man_add_sub_res_mag_dffe27_wo_range413w(i);
3508
        END GENERATE loop115;
3509
        loop116 : FOR i IN 0 TO 27 GENERATE
3510
                wire_w_lg_w_man_add_sub_w_range372w379w(i) <= wire_w_man_add_sub_w_range372w(0) AND man_2comp_res_w(i);
3511
        END GENERATE loop116;
3512
        loop117 : FOR i IN 0 TO 22 GENERATE
3513
                wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w(i) <= wire_w_man_res_rounding_add_sub_w_range585w(0) AND wire_w_man_res_rounding_add_sub_w_range588w(i);
3514
        END GENERATE loop117;
3515
        wire_w_lg_w_lg_force_zero_w634w635w(0) <= NOT wire_w_lg_force_zero_w634w(0);
3516
        wire_w_lg_add_sub_dffe25_wo491w(0) <= NOT add_sub_dffe25_wo;
3517
        wire_w_lg_add_sub_w2342w(0) <= NOT add_sub_w2;
3518
        wire_w_lg_denormal_result_w558w(0) <= NOT denormal_result_w;
3519
        wire_w_lg_exp_amb_mux_dffe15_wo316w(0) <= NOT exp_amb_mux_dffe15_wo;
3520
        wire_w_lg_exp_amb_mux_w276w(0) <= NOT exp_amb_mux_w;
3521
        wire_w_lg_force_infinity_w629w(0) <= NOT force_infinity_w;
3522
        wire_w_lg_force_nan_w630w(0) <= NOT force_nan_w;
3523
        wire_w_lg_force_zero_w628w(0) <= NOT force_zero_w;
3524
        wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) <= NOT input_dataa_denormal_dffe11_wo;
3525
        wire_w_lg_input_dataa_infinite_dffe11_wo246w(0) <= NOT input_dataa_infinite_dffe11_wo;
3526
        wire_w_lg_input_dataa_zero_dffe11_wo245w(0) <= NOT input_dataa_zero_dffe11_wo;
3527
        wire_w_lg_input_datab_denormal_dffe11_wo252w(0) <= NOT input_datab_denormal_dffe11_wo;
3528
        wire_w_lg_input_datab_infinite_dffe11_wo265w(0) <= NOT input_datab_infinite_dffe11_wo;
3529
        wire_w_lg_input_datab_infinite_dffe15_wo337w(0) <= NOT input_datab_infinite_dffe15_wo;
3530
        wire_w_lg_input_datab_zero_dffe11_wo264w(0) <= NOT input_datab_zero_dffe11_wo;
3531
        wire_w_lg_input_is_infinite_dffe4_wo658w(0) <= NOT input_is_infinite_dffe4_wo;
3532
        wire_w_lg_man_res_is_not_zero_dffe4_wo627w(0) <= NOT man_res_is_not_zero_dffe4_wo;
3533
        wire_w_lg_man_res_not_zero_dffe26_wo503w(0) <= NOT man_res_not_zero_dffe26_wo;
3534
        wire_w_lg_need_complement_dffe22_wo373w(0) <= NOT need_complement_dffe22_wo;
3535
        wire_w_lg_sticky_bit_dffe1_wo343w(0) <= NOT sticky_bit_dffe1_wo;
3536
        wire_w_lg_zero_flag_n_dffe5_wo663w(0) <= NOT zero_flag_n_dffe5_wo;
3537
        wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w(0) <= NOT wire_w_exp_adjustment2_add_sub_w_range511w(0);
3538
        wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w292w(0) <= NOT wire_w_exp_diff_abs_exceed_max_w_range290w(0);
3539
        wire_w_lg_w_man_a_not_zero_w_range215w219w(0) <= NOT wire_w_man_a_not_zero_w_range215w(0);
3540
        wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0) <= NOT wire_w_man_add_sub_res_mag_dffe27_wo_range387w(0);
3541
        wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) <= NOT wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0);
3542
        wire_w_lg_w_man_add_sub_w_range372w375w(0) <= NOT wire_w_man_add_sub_w_range372w(0);
3543
        wire_w_lg_w_man_b_not_zero_w_range218w225w(0) <= NOT wire_w_man_b_not_zero_w_range218w(0);
3544
        wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w(0) <= NOT wire_w_man_res_rounding_add_sub_w_range585w(0);
3545
        loop118 : FOR i IN 0 TO 7 GENERATE
3546
                wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w(i) <= wire_w_lg_w_lg_force_zero_w634w637w(i) OR wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w(i);
3547
        END GENERATE loop118;
3548
        loop119 : FOR i IN 0 TO 22 GENERATE
3549
                wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w(i) <= wire_w_lg_w_lg_force_zero_w634w646w(i) OR wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w(i);
3550
        END GENERATE loop119;
3551
        loop120 : FOR i IN 0 TO 7 GENERATE
3552
                wire_w_lg_w_lg_force_infinity_w640w641w(i) <= wire_w_lg_force_infinity_w640w(i) OR wire_w_lg_w_lg_force_infinity_w629w639w(i);
3553
        END GENERATE loop120;
3554
        loop121 : FOR i IN 0 TO 22 GENERATE
3555
                wire_w_lg_w_lg_force_infinity_w649w650w(i) <= wire_w_lg_force_infinity_w649w(i) OR wire_w_lg_w_lg_force_infinity_w629w648w(i);
3556
        END GENERATE loop121;
3557
        wire_w_lg_force_zero_w634w(0) <= force_zero_w OR denormal_flag_w;
3558
        wire_w_lg_sticky_bit_dffe27_wo402w(0) <= sticky_bit_dffe27_wo OR wire_w_man_add_sub_res_mag_dffe27_wo_range396w(0);
3559
        wire_w_lg_w_dataa_range141w142w(0) <= wire_w_dataa_range141w(0) OR wire_w_man_a_not_zero_w_range137w(0);
3560
        wire_w_lg_w_dataa_range147w148w(0) <= wire_w_dataa_range147w(0) OR wire_w_man_a_not_zero_w_range143w(0);
3561
        wire_w_lg_w_dataa_range153w154w(0) <= wire_w_dataa_range153w(0) OR wire_w_man_a_not_zero_w_range149w(0);
3562
        wire_w_lg_w_dataa_range159w160w(0) <= wire_w_dataa_range159w(0) OR wire_w_man_a_not_zero_w_range155w(0);
3563
        wire_w_lg_w_dataa_range165w166w(0) <= wire_w_dataa_range165w(0) OR wire_w_man_a_not_zero_w_range161w(0);
3564
        wire_w_lg_w_dataa_range171w172w(0) <= wire_w_dataa_range171w(0) OR wire_w_man_a_not_zero_w_range167w(0);
3565
        wire_w_lg_w_dataa_range177w178w(0) <= wire_w_dataa_range177w(0) OR wire_w_man_a_not_zero_w_range173w(0);
3566
        wire_w_lg_w_dataa_range183w184w(0) <= wire_w_dataa_range183w(0) OR wire_w_man_a_not_zero_w_range179w(0);
3567
        wire_w_lg_w_dataa_range189w190w(0) <= wire_w_dataa_range189w(0) OR wire_w_man_a_not_zero_w_range185w(0);
3568
        wire_w_lg_w_dataa_range195w196w(0) <= wire_w_dataa_range195w(0) OR wire_w_man_a_not_zero_w_range191w(0);
3569
        wire_w_lg_w_dataa_range87w88w(0) <= wire_w_dataa_range87w(0) OR wire_w_man_a_not_zero_w_range12w(0);
3570
        wire_w_lg_w_dataa_range201w202w(0) <= wire_w_dataa_range201w(0) OR wire_w_man_a_not_zero_w_range197w(0);
3571
        wire_w_lg_w_dataa_range207w208w(0) <= wire_w_dataa_range207w(0) OR wire_w_man_a_not_zero_w_range203w(0);
3572
        wire_w_lg_w_dataa_range213w214w(0) <= wire_w_dataa_range213w(0) OR wire_w_man_a_not_zero_w_range209w(0);
3573
        wire_w_lg_w_dataa_range17w18w(0) <= wire_w_dataa_range17w(0) OR wire_w_exp_a_not_zero_w_range2w(0);
3574
        wire_w_lg_w_dataa_range27w28w(0) <= wire_w_dataa_range27w(0) OR wire_w_exp_a_not_zero_w_range19w(0);
3575
        wire_w_lg_w_dataa_range37w38w(0) <= wire_w_dataa_range37w(0) OR wire_w_exp_a_not_zero_w_range29w(0);
3576
        wire_w_lg_w_dataa_range47w48w(0) <= wire_w_dataa_range47w(0) OR wire_w_exp_a_not_zero_w_range39w(0);
3577
        wire_w_lg_w_dataa_range57w58w(0) <= wire_w_dataa_range57w(0) OR wire_w_exp_a_not_zero_w_range49w(0);
3578
        wire_w_lg_w_dataa_range67w68w(0) <= wire_w_dataa_range67w(0) OR wire_w_exp_a_not_zero_w_range59w(0);
3579
        wire_w_lg_w_dataa_range93w94w(0) <= wire_w_dataa_range93w(0) OR wire_w_man_a_not_zero_w_range89w(0);
3580
        wire_w_lg_w_dataa_range77w78w(0) <= wire_w_dataa_range77w(0) OR wire_w_exp_a_not_zero_w_range69w(0);
3581
        wire_w_lg_w_dataa_range99w100w(0) <= wire_w_dataa_range99w(0) OR wire_w_man_a_not_zero_w_range95w(0);
3582
        wire_w_lg_w_dataa_range105w106w(0) <= wire_w_dataa_range105w(0) OR wire_w_man_a_not_zero_w_range101w(0);
3583
        wire_w_lg_w_dataa_range111w112w(0) <= wire_w_dataa_range111w(0) OR wire_w_man_a_not_zero_w_range107w(0);
3584
        wire_w_lg_w_dataa_range117w118w(0) <= wire_w_dataa_range117w(0) OR wire_w_man_a_not_zero_w_range113w(0);
3585
        wire_w_lg_w_dataa_range123w124w(0) <= wire_w_dataa_range123w(0) OR wire_w_man_a_not_zero_w_range119w(0);
3586
        wire_w_lg_w_dataa_range129w130w(0) <= wire_w_dataa_range129w(0) OR wire_w_man_a_not_zero_w_range125w(0);
3587
        wire_w_lg_w_dataa_range135w136w(0) <= wire_w_dataa_range135w(0) OR wire_w_man_a_not_zero_w_range131w(0);
3588
        wire_w_lg_w_datab_range144w145w(0) <= wire_w_datab_range144w(0) OR wire_w_man_b_not_zero_w_range140w(0);
3589
        wire_w_lg_w_datab_range150w151w(0) <= wire_w_datab_range150w(0) OR wire_w_man_b_not_zero_w_range146w(0);
3590
        wire_w_lg_w_datab_range156w157w(0) <= wire_w_datab_range156w(0) OR wire_w_man_b_not_zero_w_range152w(0);
3591
        wire_w_lg_w_datab_range162w163w(0) <= wire_w_datab_range162w(0) OR wire_w_man_b_not_zero_w_range158w(0);
3592
        wire_w_lg_w_datab_range168w169w(0) <= wire_w_datab_range168w(0) OR wire_w_man_b_not_zero_w_range164w(0);
3593
        wire_w_lg_w_datab_range174w175w(0) <= wire_w_datab_range174w(0) OR wire_w_man_b_not_zero_w_range170w(0);
3594
        wire_w_lg_w_datab_range180w181w(0) <= wire_w_datab_range180w(0) OR wire_w_man_b_not_zero_w_range176w(0);
3595
        wire_w_lg_w_datab_range186w187w(0) <= wire_w_datab_range186w(0) OR wire_w_man_b_not_zero_w_range182w(0);
3596
        wire_w_lg_w_datab_range192w193w(0) <= wire_w_datab_range192w(0) OR wire_w_man_b_not_zero_w_range188w(0);
3597
        wire_w_lg_w_datab_range198w199w(0) <= wire_w_datab_range198w(0) OR wire_w_man_b_not_zero_w_range194w(0);
3598
        wire_w_lg_w_datab_range90w91w(0) <= wire_w_datab_range90w(0) OR wire_w_man_b_not_zero_w_range15w(0);
3599
        wire_w_lg_w_datab_range204w205w(0) <= wire_w_datab_range204w(0) OR wire_w_man_b_not_zero_w_range200w(0);
3600
        wire_w_lg_w_datab_range210w211w(0) <= wire_w_datab_range210w(0) OR wire_w_man_b_not_zero_w_range206w(0);
3601
        wire_w_lg_w_datab_range216w217w(0) <= wire_w_datab_range216w(0) OR wire_w_man_b_not_zero_w_range212w(0);
3602
        wire_w_lg_w_datab_range20w21w(0) <= wire_w_datab_range20w(0) OR wire_w_exp_b_not_zero_w_range5w(0);
3603
        wire_w_lg_w_datab_range30w31w(0) <= wire_w_datab_range30w(0) OR wire_w_exp_b_not_zero_w_range22w(0);
3604
        wire_w_lg_w_datab_range40w41w(0) <= wire_w_datab_range40w(0) OR wire_w_exp_b_not_zero_w_range32w(0);
3605
        wire_w_lg_w_datab_range50w51w(0) <= wire_w_datab_range50w(0) OR wire_w_exp_b_not_zero_w_range42w(0);
3606
        wire_w_lg_w_datab_range60w61w(0) <= wire_w_datab_range60w(0) OR wire_w_exp_b_not_zero_w_range52w(0);
3607
        wire_w_lg_w_datab_range70w71w(0) <= wire_w_datab_range70w(0) OR wire_w_exp_b_not_zero_w_range62w(0);
3608
        wire_w_lg_w_datab_range96w97w(0) <= wire_w_datab_range96w(0) OR wire_w_man_b_not_zero_w_range92w(0);
3609
        wire_w_lg_w_datab_range80w81w(0) <= wire_w_datab_range80w(0) OR wire_w_exp_b_not_zero_w_range72w(0);
3610
        wire_w_lg_w_datab_range102w103w(0) <= wire_w_datab_range102w(0) OR wire_w_man_b_not_zero_w_range98w(0);
3611
        wire_w_lg_w_datab_range108w109w(0) <= wire_w_datab_range108w(0) OR wire_w_man_b_not_zero_w_range104w(0);
3612
        wire_w_lg_w_datab_range114w115w(0) <= wire_w_datab_range114w(0) OR wire_w_man_b_not_zero_w_range110w(0);
3613
        wire_w_lg_w_datab_range120w121w(0) <= wire_w_datab_range120w(0) OR wire_w_man_b_not_zero_w_range116w(0);
3614
        wire_w_lg_w_datab_range126w127w(0) <= wire_w_datab_range126w(0) OR wire_w_man_b_not_zero_w_range122w(0);
3615
        wire_w_lg_w_datab_range132w133w(0) <= wire_w_datab_range132w(0) OR wire_w_man_b_not_zero_w_range128w(0);
3616
        wire_w_lg_w_datab_range138w139w(0) <= wire_w_datab_range138w(0) OR wire_w_man_b_not_zero_w_range134w(0);
3617
        wire_w_lg_w_exp_diff_abs_exceed_max_w_range283w286w(0) <= wire_w_exp_diff_abs_exceed_max_w_range283w(0) OR wire_w_exp_diff_abs_w_range285w(0);
3618
        wire_w_lg_w_exp_diff_abs_exceed_max_w_range287w289w(0) <= wire_w_exp_diff_abs_exceed_max_w_range287w(0) OR wire_w_exp_diff_abs_w_range288w(0);
3619
        wire_w_lg_w_exp_res_not_zero_w_range516w519w(0) <= wire_w_exp_res_not_zero_w_range516w(0) OR wire_w_exp_adjustment2_add_sub_w_range518w(0);
3620
        wire_w_lg_w_exp_res_not_zero_w_range520w522w(0) <= wire_w_exp_res_not_zero_w_range520w(0) OR wire_w_exp_adjustment2_add_sub_w_range521w(0);
3621
        wire_w_lg_w_exp_res_not_zero_w_range523w525w(0) <= wire_w_exp_res_not_zero_w_range523w(0) OR wire_w_exp_adjustment2_add_sub_w_range524w(0);
3622
        wire_w_lg_w_exp_res_not_zero_w_range526w528w(0) <= wire_w_exp_res_not_zero_w_range526w(0) OR wire_w_exp_adjustment2_add_sub_w_range527w(0);
3623
        wire_w_lg_w_exp_res_not_zero_w_range529w531w(0) <= wire_w_exp_res_not_zero_w_range529w(0) OR wire_w_exp_adjustment2_add_sub_w_range530w(0);
3624
        wire_w_lg_w_exp_res_not_zero_w_range532w534w(0) <= wire_w_exp_res_not_zero_w_range532w(0) OR wire_w_exp_adjustment2_add_sub_w_range533w(0);
3625
        wire_w_lg_w_exp_res_not_zero_w_range535w537w(0) <= wire_w_exp_res_not_zero_w_range535w(0) OR wire_w_exp_adjustment2_add_sub_w_range536w(0);
3626
        wire_w_lg_w_exp_res_not_zero_w_range538w539w(0) <= wire_w_exp_res_not_zero_w_range538w(0) OR wire_w_exp_adjustment2_add_sub_w_range511w(0);
3627
        wire_w_lg_w_man_res_not_zero_w2_range417w420w(0) <= wire_w_man_res_not_zero_w2_range417w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range419w(0);
3628
        wire_w_lg_w_man_res_not_zero_w2_range448w450w(0) <= wire_w_man_res_not_zero_w2_range448w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range449w(0);
3629
        wire_w_lg_w_man_res_not_zero_w2_range451w453w(0) <= wire_w_man_res_not_zero_w2_range451w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range452w(0);
3630
        wire_w_lg_w_man_res_not_zero_w2_range454w456w(0) <= wire_w_man_res_not_zero_w2_range454w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range455w(0);
3631
        wire_w_lg_w_man_res_not_zero_w2_range457w459w(0) <= wire_w_man_res_not_zero_w2_range457w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range458w(0);
3632
        wire_w_lg_w_man_res_not_zero_w2_range460w462w(0) <= wire_w_man_res_not_zero_w2_range460w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range461w(0);
3633
        wire_w_lg_w_man_res_not_zero_w2_range463w465w(0) <= wire_w_man_res_not_zero_w2_range463w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range464w(0);
3634
        wire_w_lg_w_man_res_not_zero_w2_range466w468w(0) <= wire_w_man_res_not_zero_w2_range466w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range467w(0);
3635
        wire_w_lg_w_man_res_not_zero_w2_range469w471w(0) <= wire_w_man_res_not_zero_w2_range469w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range470w(0);
3636
        wire_w_lg_w_man_res_not_zero_w2_range472w474w(0) <= wire_w_man_res_not_zero_w2_range472w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range473w(0);
3637
        wire_w_lg_w_man_res_not_zero_w2_range475w477w(0) <= wire_w_man_res_not_zero_w2_range475w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range476w(0);
3638
        wire_w_lg_w_man_res_not_zero_w2_range421w423w(0) <= wire_w_man_res_not_zero_w2_range421w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range422w(0);
3639
        wire_w_lg_w_man_res_not_zero_w2_range478w480w(0) <= wire_w_man_res_not_zero_w2_range478w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range479w(0);
3640
        wire_w_lg_w_man_res_not_zero_w2_range481w483w(0) <= wire_w_man_res_not_zero_w2_range481w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range482w(0);
3641
        wire_w_lg_w_man_res_not_zero_w2_range484w486w(0) <= wire_w_man_res_not_zero_w2_range484w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range485w(0);
3642
        wire_w_lg_w_man_res_not_zero_w2_range487w489w(0) <= wire_w_man_res_not_zero_w2_range487w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range488w(0);
3643
        wire_w_lg_w_man_res_not_zero_w2_range424w426w(0) <= wire_w_man_res_not_zero_w2_range424w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range425w(0);
3644
        wire_w_lg_w_man_res_not_zero_w2_range427w429w(0) <= wire_w_man_res_not_zero_w2_range427w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range428w(0);
3645
        wire_w_lg_w_man_res_not_zero_w2_range430w432w(0) <= wire_w_man_res_not_zero_w2_range430w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range431w(0);
3646
        wire_w_lg_w_man_res_not_zero_w2_range433w435w(0) <= wire_w_man_res_not_zero_w2_range433w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range434w(0);
3647
        wire_w_lg_w_man_res_not_zero_w2_range436w438w(0) <= wire_w_man_res_not_zero_w2_range436w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range437w(0);
3648
        wire_w_lg_w_man_res_not_zero_w2_range439w441w(0) <= wire_w_man_res_not_zero_w2_range439w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range440w(0);
3649
        wire_w_lg_w_man_res_not_zero_w2_range442w444w(0) <= wire_w_man_res_not_zero_w2_range442w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range443w(0);
3650
        wire_w_lg_w_man_res_not_zero_w2_range445w447w(0) <= wire_w_man_res_not_zero_w2_range445w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range446w(0);
3651
        add_sub_dffe25_wi <= add_sub_w2;
3652
        add_sub_dffe25_wo <= add_sub_dffe25_wi;
3653
        add_sub_w2 <= (NOT (dataa_sign_dffe1_wo XOR datab_sign_dffe1_wo));
3654
        adder_upper_w <= man_intermediate_res_w(25 DOWNTO 13);
3655
        aligned_dataa_exp_dffe12_wi <= aligned_dataa_exp_w;
3656
        aligned_dataa_exp_dffe12_wo <= aligned_dataa_exp_dffe12;
3657
        aligned_dataa_exp_dffe13_wi <= aligned_dataa_exp_dffe12_wo;
3658
        aligned_dataa_exp_dffe13_wo <= aligned_dataa_exp_dffe13;
3659
        aligned_dataa_exp_dffe14_wi <= aligned_dataa_exp_dffe13_wo;
3660
        aligned_dataa_exp_dffe14_wo <= aligned_dataa_exp_dffe14;
3661
        aligned_dataa_exp_dffe15_wi <= aligned_dataa_exp_dffe14_wo;
3662
        aligned_dataa_exp_dffe15_wo <= aligned_dataa_exp_dffe15_wi;
3663
        aligned_dataa_exp_w <= ( "0" & wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w);
3664
        aligned_dataa_man_dffe12_wi <= aligned_dataa_man_w(25 DOWNTO 2);
3665
        aligned_dataa_man_dffe12_wo <= aligned_dataa_man_dffe12;
3666
        aligned_dataa_man_dffe13_wi <= aligned_dataa_man_dffe12_wo;
3667
        aligned_dataa_man_dffe13_wo <= aligned_dataa_man_dffe13;
3668
        aligned_dataa_man_dffe14_wi <= aligned_dataa_man_dffe13_wo;
3669
        aligned_dataa_man_dffe14_wo <= aligned_dataa_man_dffe14;
3670
        aligned_dataa_man_dffe15_w <= ( aligned_dataa_man_dffe15_wo & "00");
3671
        aligned_dataa_man_dffe15_wi <= aligned_dataa_man_dffe14_wo;
3672
        aligned_dataa_man_dffe15_wo <= aligned_dataa_man_dffe15_wi;
3673
        aligned_dataa_man_w <= ( wire_w248w & wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w & "00");
3674
        aligned_dataa_sign_dffe12_wi <= aligned_dataa_sign_w;
3675
        aligned_dataa_sign_dffe12_wo <= aligned_dataa_sign_dffe12;
3676
        aligned_dataa_sign_dffe13_wi <= aligned_dataa_sign_dffe12_wo;
3677
        aligned_dataa_sign_dffe13_wo <= aligned_dataa_sign_dffe13;
3678
        aligned_dataa_sign_dffe14_wi <= aligned_dataa_sign_dffe13_wo;
3679
        aligned_dataa_sign_dffe14_wo <= aligned_dataa_sign_dffe14;
3680
        aligned_dataa_sign_dffe15_wi <= aligned_dataa_sign_dffe14_wo;
3681
        aligned_dataa_sign_dffe15_wo <= aligned_dataa_sign_dffe15_wi;
3682
        aligned_dataa_sign_w <= dataa_dffe11_wo(31);
3683
        aligned_datab_exp_dffe12_wi <= aligned_datab_exp_w;
3684
        aligned_datab_exp_dffe12_wo <= aligned_datab_exp_dffe12;
3685
        aligned_datab_exp_dffe13_wi <= aligned_datab_exp_dffe12_wo;
3686
        aligned_datab_exp_dffe13_wo <= aligned_datab_exp_dffe13;
3687
        aligned_datab_exp_dffe14_wi <= aligned_datab_exp_dffe13_wo;
3688
        aligned_datab_exp_dffe14_wo <= aligned_datab_exp_dffe14;
3689
        aligned_datab_exp_dffe15_wi <= aligned_datab_exp_dffe14_wo;
3690
        aligned_datab_exp_dffe15_wo <= aligned_datab_exp_dffe15_wi;
3691
        aligned_datab_exp_w <= ( "0" & wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w);
3692
        aligned_datab_man_dffe12_wi <= aligned_datab_man_w(25 DOWNTO 2);
3693
        aligned_datab_man_dffe12_wo <= aligned_datab_man_dffe12;
3694
        aligned_datab_man_dffe13_wi <= aligned_datab_man_dffe12_wo;
3695
        aligned_datab_man_dffe13_wo <= aligned_datab_man_dffe13;
3696
        aligned_datab_man_dffe14_wi <= aligned_datab_man_dffe13_wo;
3697
        aligned_datab_man_dffe14_wo <= aligned_datab_man_dffe14;
3698
        aligned_datab_man_dffe15_w <= ( aligned_datab_man_dffe15_wo & "00");
3699
        aligned_datab_man_dffe15_wi <= aligned_datab_man_dffe14_wo;
3700
        aligned_datab_man_dffe15_wo <= aligned_datab_man_dffe15_wi;
3701
        aligned_datab_man_w <= ( wire_w267w & wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w & "00");
3702
        aligned_datab_sign_dffe12_wi <= aligned_datab_sign_w;
3703
        aligned_datab_sign_dffe12_wo <= aligned_datab_sign_dffe12;
3704
        aligned_datab_sign_dffe13_wi <= aligned_datab_sign_dffe12_wo;
3705
        aligned_datab_sign_dffe13_wo <= aligned_datab_sign_dffe13;
3706
        aligned_datab_sign_dffe14_wi <= aligned_datab_sign_dffe13_wo;
3707
        aligned_datab_sign_dffe14_wo <= aligned_datab_sign_dffe14;
3708
        aligned_datab_sign_dffe15_wi <= aligned_datab_sign_dffe14_wo;
3709
        aligned_datab_sign_dffe15_wo <= aligned_datab_sign_dffe15_wi;
3710
        aligned_datab_sign_w <= datab_dffe11_wo(31);
3711
        borrow_w <= (wire_w_lg_sticky_bit_dffe1_wo343w(0) AND wire_w_lg_add_sub_w2342w(0));
3712
        both_inputs_are_infinite_dffe1_wi <= (input_dataa_infinite_dffe15_wo AND input_datab_infinite_dffe15_wo);
3713
        both_inputs_are_infinite_dffe1_wo <= both_inputs_are_infinite_dffe1;
3714
        both_inputs_are_infinite_dffe25_wi <= both_inputs_are_infinite_dffe1_wo;
3715
        both_inputs_are_infinite_dffe25_wo <= both_inputs_are_infinite_dffe25_wi;
3716
        data_exp_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w OR wire_w_lg_exp_amb_mux_dffe15_wo314w);
3717
        data_exp_dffe1_wo <= data_exp_dffe1;
3718
        dataa_dffe11_wi <= dataa;
3719
        dataa_dffe11_wo <= dataa_dffe11_wi;
3720
        dataa_man_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w OR wire_w_lg_exp_amb_mux_dffe15_wo323w);
3721
        dataa_man_dffe1_wo <= dataa_man_dffe1;
3722
        dataa_sign_dffe1_wi <= aligned_dataa_sign_dffe15_wo;
3723
        dataa_sign_dffe1_wo <= dataa_sign_dffe1;
3724
        dataa_sign_dffe25_wi <= dataa_sign_dffe1_wo;
3725
        dataa_sign_dffe25_wo <= dataa_sign_dffe25_wi;
3726
        datab_dffe11_wi <= datab;
3727
        datab_dffe11_wo <= datab_dffe11_wi;
3728
        datab_man_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w OR wire_w_lg_exp_amb_mux_dffe15_wo330w);
3729
        datab_man_dffe1_wo <= datab_man_dffe1;
3730
        datab_sign_dffe1_wi <= aligned_datab_sign_dffe15_wo;
3731
        datab_sign_dffe1_wo <= datab_sign_dffe1;
3732
        denormal_flag_w <= (((wire_w_lg_force_nan_w630w(0) AND wire_w_lg_force_infinity_w629w(0)) AND wire_w_lg_force_zero_w628w(0)) AND denormal_res_dffe4_wo);
3733
        denormal_res_dffe32_wi <= denormal_result_w;
3734
        denormal_res_dffe32_wo <= denormal_res_dffe32_wi;
3735
        denormal_res_dffe33_wi <= denormal_res_dffe32_wo;
3736
        denormal_res_dffe33_wo <= denormal_res_dffe33_wi;
3737
        denormal_res_dffe3_wi <= denormal_res_dffe33_wo;
3738
        denormal_res_dffe3_wo <= denormal_res_dffe3;
3739
        denormal_res_dffe41_wi <= denormal_res_dffe42_wo;
3740
        denormal_res_dffe41_wo <= denormal_res_dffe41;
3741
        denormal_res_dffe42_wi <= denormal_res_dffe3_wo;
3742
        denormal_res_dffe42_wo <= denormal_res_dffe42_wi;
3743
        denormal_res_dffe4_wi <= denormal_res_dffe41_wo;
3744
        denormal_res_dffe4_wo <= denormal_res_dffe4;
3745
        denormal_result_w <= ((NOT exp_res_not_zero_w(8)) OR exp_adjustment2_add_sub_w(8));
3746
        exp_a_all_one_w <= ( wire_w_lg_w_dataa_range77w83w & wire_w_lg_w_dataa_range67w73w & wire_w_lg_w_dataa_range57w63w & wire_w_lg_w_dataa_range47w53w & wire_w_lg_w_dataa_range37w43w & wire_w_lg_w_dataa_range27w33w & wire_w_lg_w_dataa_range17w23w & dataa(23));
3747
        exp_a_not_zero_w <= ( wire_w_lg_w_dataa_range77w78w & wire_w_lg_w_dataa_range67w68w & wire_w_lg_w_dataa_range57w58w & wire_w_lg_w_dataa_range47w48w & wire_w_lg_w_dataa_range37w38w & wire_w_lg_w_dataa_range27w28w & wire_w_lg_w_dataa_range17w18w & dataa(23));
3748
        exp_adj_0pads <= (OTHERS => '0');
3749
        exp_adj_dffe21_wi <= (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w OR wire_w383w);
3750
        exp_adj_dffe21_wo <= exp_adj_dffe21;
3751
        exp_adj_dffe23_wi <= exp_adj_dffe21_wo;
3752
        exp_adj_dffe23_wo <= exp_adj_dffe23;
3753
        exp_adj_dffe26_wi <= exp_adj_dffe23_wo;
3754
        exp_adj_dffe26_wo <= exp_adj_dffe26_wi;
3755
        exp_adjust_by_add1 <= "01";
3756
        exp_adjust_by_add2 <= "10";
3757
        exp_adjustment2_add_sub_dataa_w <= exp_value;
3758
        exp_adjustment2_add_sub_datab_w <= exp_adjustment_add_sub_w;
3759
        exp_adjustment2_add_sub_w <= wire_add_sub5_result;
3760
        exp_adjustment_add_sub_dataa_w <= ( priority_encoder_1pads_w & wire_leading_zeroes_cnt_q);
3761
        exp_adjustment_add_sub_datab_w <= ( exp_adj_0pads & exp_adj_dffe26_wo);
3762
        exp_adjustment_add_sub_w <= wire_add_sub4_result;
3763
        exp_all_ones_w <= (OTHERS => '1');
3764
        exp_all_zeros_w <= (OTHERS => '0');
3765
        exp_amb_mux_dffe13_wi <= exp_amb_mux_w;
3766
        exp_amb_mux_dffe13_wo <= exp_amb_mux_dffe13;
3767
        exp_amb_mux_dffe14_wi <= exp_amb_mux_dffe13_wo;
3768
        exp_amb_mux_dffe14_wo <= exp_amb_mux_dffe14;
3769
        exp_amb_mux_dffe15_wi <= exp_amb_mux_dffe14_wo;
3770
        exp_amb_mux_dffe15_wo <= exp_amb_mux_dffe15_wi;
3771
        exp_amb_mux_w <= exp_amb_w(8);
3772
        exp_amb_w <= wire_add_sub1_result;
3773
        exp_b_all_one_w <= ( wire_w_lg_w_datab_range80w85w & wire_w_lg_w_datab_range70w75w & wire_w_lg_w_datab_range60w65w & wire_w_lg_w_datab_range50w55w & wire_w_lg_w_datab_range40w45w & wire_w_lg_w_datab_range30w35w & wire_w_lg_w_datab_range20w25w & datab(23));
3774
        exp_b_not_zero_w <= ( wire_w_lg_w_datab_range80w81w & wire_w_lg_w_datab_range70w71w & wire_w_lg_w_datab_range60w61w & wire_w_lg_w_datab_range50w51w & wire_w_lg_w_datab_range40w41w & wire_w_lg_w_datab_range30w31w & wire_w_lg_w_datab_range20w21w & datab(23));
3775
        exp_bma_w <= wire_add_sub2_result;
3776
        exp_diff_abs_exceed_max_w <= ( wire_w_lg_w_exp_diff_abs_exceed_max_w_range287w289w & wire_w_lg_w_exp_diff_abs_exceed_max_w_range283w286w & exp_diff_abs_w(5));
3777
        exp_diff_abs_max_w <= (OTHERS => '1');
3778
        exp_diff_abs_w <= (wire_w_lg_w_lg_exp_amb_mux_w276w277w OR wire_w_lg_exp_amb_mux_w274w);
3779
        exp_intermediate_res_dffe41_wi <= exp_intermediate_res_dffe42_wo;
3780
        exp_intermediate_res_dffe41_wo <= exp_intermediate_res_dffe41;
3781
        exp_intermediate_res_dffe42_wi <= exp_intermediate_res_w;
3782
        exp_intermediate_res_dffe42_wo <= exp_intermediate_res_dffe42_wi;
3783
        exp_intermediate_res_w <= exp_res_dffe3_wo;
3784
        exp_out_dffe5_wi <= (wire_w_lg_force_nan_w643w OR wire_w_lg_w_lg_force_nan_w630w642w);
3785
        exp_out_dffe5_wo <= exp_out_dffe5;
3786
        exp_res_dffe21_wi <= exp_res_dffe27_wo;
3787
        exp_res_dffe21_wo <= exp_res_dffe21;
3788
        exp_res_dffe22_wi <= exp_res_dffe2_wo;
3789
        exp_res_dffe22_wo <= exp_res_dffe22_wi;
3790
        exp_res_dffe23_wi <= exp_res_dffe21_wo;
3791
        exp_res_dffe23_wo <= exp_res_dffe23;
3792
        exp_res_dffe25_wi <= data_exp_dffe1_wo;
3793
        exp_res_dffe25_wo <= exp_res_dffe25_wi;
3794
        exp_res_dffe26_wi <= exp_res_dffe23_wo;
3795
        exp_res_dffe26_wo <= exp_res_dffe26_wi;
3796
        exp_res_dffe27_wi <= exp_res_dffe22_wo;
3797
        exp_res_dffe27_wo <= exp_res_dffe27_wi;
3798
        exp_res_dffe2_wi <= exp_res_dffe25_wo;
3799
        exp_res_dffe2_wo <= exp_res_dffe2;
3800
        exp_res_dffe32_wi <= wire_w_lg_w_lg_denormal_result_w558w559w;
3801
        exp_res_dffe32_wo <= exp_res_dffe32_wi;
3802
        exp_res_dffe33_wi <= exp_res_dffe32_wo;
3803
        exp_res_dffe33_wo <= exp_res_dffe33_wi;
3804
        exp_res_dffe3_wi <= exp_res_dffe33_wo;
3805
        exp_res_dffe3_wo <= exp_res_dffe3;
3806
        exp_res_dffe4_wi <= exp_rounded_res_w;
3807
        exp_res_dffe4_wo <= exp_res_dffe4;
3808
        exp_res_max_w <= ( wire_w_lg_w_exp_res_max_w_range553w554w & wire_w_lg_w_exp_res_max_w_range551w552w & wire_w_lg_w_exp_res_max_w_range549w550w & wire_w_lg_w_exp_res_max_w_range547w548w & wire_w_lg_w_exp_res_max_w_range545w546w & wire_w_lg_w_exp_res_max_w_range543w544w & wire_w_lg_w_exp_res_max_w_range540w542w & exp_adjustment2_add_sub_w(0));
3809
        exp_res_not_zero_w <= ( wire_w_lg_w_exp_res_not_zero_w_range538w539w & wire_w_lg_w_exp_res_not_zero_w_range535w537w & wire_w_lg_w_exp_res_not_zero_w_range532w534w & wire_w_lg_w_exp_res_not_zero_w_range529w531w & wire_w_lg_w_exp_res_not_zero_w_range526w528w & wire_w_lg_w_exp_res_not_zero_w_range523w525w & wire_w_lg_w_exp_res_not_zero_w_range520w522w & wire_w_lg_w_exp_res_not_zero_w_range516w519w & exp_adjustment2_add_sub_w(0));
3810
        exp_res_rounding_adder_dataa_w <= ( "0" & exp_intermediate_res_dffe41_wo);
3811
        exp_res_rounding_adder_w <= wire_add_sub6_result;
3812
        exp_rounded_res_infinity_w <= exp_rounded_res_max_w(7);
3813
        exp_rounded_res_max_w <= ( wire_w_lg_w_exp_rounded_res_max_w_range620w622w & wire_w_lg_w_exp_rounded_res_max_w_range617w619w & wire_w_lg_w_exp_rounded_res_max_w_range614w616w & wire_w_lg_w_exp_rounded_res_max_w_range611w613w & wire_w_lg_w_exp_rounded_res_max_w_range608w610w & wire_w_lg_w_exp_rounded_res_max_w_range605w607w & wire_w_lg_w_exp_rounded_res_max_w_range601w604w & exp_rounded_res_w(0));
3814
        exp_rounded_res_w <= exp_res_rounding_adder_w(7 DOWNTO 0);
3815
        exp_rounding_adjustment_w <= ( "00000000" & man_res_rounding_add_sub_w(24));
3816
        exp_value <= ( "0" & exp_res_dffe26_wo);
3817
        force_infinity_w <= ((input_is_infinite_dffe4_wo OR rounded_res_infinity_dffe4_wo) OR infinite_res_dffe4_wo);
3818
        force_nan_w <= (infinity_magnitude_sub_dffe4_wo OR input_is_nan_dffe4_wo);
3819
        force_zero_w <= wire_w_lg_man_res_is_not_zero_dffe4_wo627w(0);
3820
        guard_bit_dffe3_wo <= man_res_w3(0);
3821
        infinite_output_sign_dffe1_wi <= (wire_w_lg_w_lg_input_datab_infinite_dffe15_wo337w338w(0) OR (input_datab_infinite_dffe15_wo AND aligned_datab_sign_dffe15_wo));
3822
        infinite_output_sign_dffe1_wo <= infinite_output_sign_dffe1;
3823
        infinite_output_sign_dffe21_wi <= infinite_output_sign_dffe27_wo;
3824
        infinite_output_sign_dffe21_wo <= infinite_output_sign_dffe21;
3825
        infinite_output_sign_dffe22_wi <= infinite_output_sign_dffe2_wo;
3826
        infinite_output_sign_dffe22_wo <= infinite_output_sign_dffe22_wi;
3827
        infinite_output_sign_dffe23_wi <= infinite_output_sign_dffe21_wo;
3828
        infinite_output_sign_dffe23_wo <= infinite_output_sign_dffe23;
3829
        infinite_output_sign_dffe25_wi <= infinite_output_sign_dffe1_wo;
3830
        infinite_output_sign_dffe25_wo <= infinite_output_sign_dffe25_wi;
3831
        infinite_output_sign_dffe26_wi <= infinite_output_sign_dffe23_wo;
3832
        infinite_output_sign_dffe26_wo <= infinite_output_sign_dffe26_wi;
3833
        infinite_output_sign_dffe27_wi <= infinite_output_sign_dffe22_wo;
3834
        infinite_output_sign_dffe27_wo <= infinite_output_sign_dffe27_wi;
3835
        infinite_output_sign_dffe2_wi <= infinite_output_sign_dffe25_wo;
3836
        infinite_output_sign_dffe2_wo <= infinite_output_sign_dffe2;
3837
        infinite_output_sign_dffe31_wi <= infinite_output_sign_dffe26_wo;
3838
        infinite_output_sign_dffe31_wo <= infinite_output_sign_dffe31;
3839
        infinite_output_sign_dffe32_wi <= infinite_output_sign_dffe31_wo;
3840
        infinite_output_sign_dffe32_wo <= infinite_output_sign_dffe32_wi;
3841
        infinite_output_sign_dffe33_wi <= infinite_output_sign_dffe32_wo;
3842
        infinite_output_sign_dffe33_wo <= infinite_output_sign_dffe33_wi;
3843
        infinite_output_sign_dffe3_wi <= infinite_output_sign_dffe33_wo;
3844
        infinite_output_sign_dffe3_wo <= infinite_output_sign_dffe3;
3845
        infinite_output_sign_dffe41_wi <= infinite_output_sign_dffe42_wo;
3846
        infinite_output_sign_dffe41_wo <= infinite_output_sign_dffe41;
3847
        infinite_output_sign_dffe42_wi <= infinite_output_sign_dffe3_wo;
3848
        infinite_output_sign_dffe42_wo <= infinite_output_sign_dffe42_wi;
3849
        infinite_output_sign_dffe4_wi <= infinite_output_sign_dffe41_wo;
3850
        infinite_output_sign_dffe4_wo <= infinite_output_sign_dffe4;
3851
        infinite_res_dff32_wi <= wire_w_lg_w_exp_res_max_w_range555w561w(0);
3852
        infinite_res_dff32_wo <= infinite_res_dff32_wi;
3853
        infinite_res_dff33_wi <= infinite_res_dff32_wo;
3854
        infinite_res_dff33_wo <= infinite_res_dff33_wi;
3855
        infinite_res_dffe3_wi <= infinite_res_dff33_wo;
3856
        infinite_res_dffe3_wo <= infinite_res_dffe3;
3857
        infinite_res_dffe41_wi <= infinite_res_dffe42_wo;
3858
        infinite_res_dffe41_wo <= infinite_res_dffe41;
3859
        infinite_res_dffe42_wi <= infinite_res_dffe3_wo;
3860
        infinite_res_dffe42_wo <= infinite_res_dffe42_wi;
3861
        infinite_res_dffe4_wi <= infinite_res_dffe41_wo;
3862
        infinite_res_dffe4_wo <= infinite_res_dffe4;
3863
        infinity_magnitude_sub_dffe21_wi <= infinity_magnitude_sub_dffe27_wo;
3864
        infinity_magnitude_sub_dffe21_wo <= infinity_magnitude_sub_dffe21;
3865
        infinity_magnitude_sub_dffe22_wi <= infinity_magnitude_sub_dffe2_wo;
3866
        infinity_magnitude_sub_dffe22_wo <= infinity_magnitude_sub_dffe22_wi;
3867
        infinity_magnitude_sub_dffe23_wi <= infinity_magnitude_sub_dffe21_wo;
3868
        infinity_magnitude_sub_dffe23_wo <= infinity_magnitude_sub_dffe23;
3869
        infinity_magnitude_sub_dffe26_wi <= infinity_magnitude_sub_dffe23_wo;
3870
        infinity_magnitude_sub_dffe26_wo <= infinity_magnitude_sub_dffe26_wi;
3871
        infinity_magnitude_sub_dffe27_wi <= infinity_magnitude_sub_dffe22_wo;
3872
        infinity_magnitude_sub_dffe27_wo <= infinity_magnitude_sub_dffe27_wi;
3873
        infinity_magnitude_sub_dffe2_wi <= (wire_w_lg_add_sub_dffe25_wo491w(0) AND both_inputs_are_infinite_dffe25_wo);
3874
        infinity_magnitude_sub_dffe2_wo <= infinity_magnitude_sub_dffe2;
3875
        infinity_magnitude_sub_dffe31_wi <= infinity_magnitude_sub_dffe26_wo;
3876
        infinity_magnitude_sub_dffe31_wo <= infinity_magnitude_sub_dffe31;
3877
        infinity_magnitude_sub_dffe32_wi <= infinity_magnitude_sub_dffe31_wo;
3878
        infinity_magnitude_sub_dffe32_wo <= infinity_magnitude_sub_dffe32_wi;
3879
        infinity_magnitude_sub_dffe33_wi <= infinity_magnitude_sub_dffe32_wo;
3880
        infinity_magnitude_sub_dffe33_wo <= infinity_magnitude_sub_dffe33_wi;
3881
        infinity_magnitude_sub_dffe3_wi <= infinity_magnitude_sub_dffe33_wo;
3882
        infinity_magnitude_sub_dffe3_wo <= infinity_magnitude_sub_dffe3;
3883
        infinity_magnitude_sub_dffe41_wi <= infinity_magnitude_sub_dffe42_wo;
3884
        infinity_magnitude_sub_dffe41_wo <= infinity_magnitude_sub_dffe41;
3885
        infinity_magnitude_sub_dffe42_wi <= infinity_magnitude_sub_dffe3_wo;
3886
        infinity_magnitude_sub_dffe42_wo <= infinity_magnitude_sub_dffe42_wi;
3887
        infinity_magnitude_sub_dffe4_wi <= infinity_magnitude_sub_dffe41_wo;
3888
        infinity_magnitude_sub_dffe4_wo <= infinity_magnitude_sub_dffe4;
3889
        input_dataa_denormal_dffe11_wi <= input_dataa_denormal_w;
3890
        input_dataa_denormal_dffe11_wo <= input_dataa_denormal_dffe11_wi;
3891
        input_dataa_denormal_w <= ((NOT exp_a_not_zero_w(7)) AND man_a_not_zero_w(22));
3892
        input_dataa_infinite_dffe11_wi <= input_dataa_infinite_w;
3893
        input_dataa_infinite_dffe11_wo <= input_dataa_infinite_dffe11_wi;
3894
        input_dataa_infinite_dffe12_wi <= input_dataa_infinite_dffe11_wo;
3895
        input_dataa_infinite_dffe12_wo <= input_dataa_infinite_dffe12;
3896
        input_dataa_infinite_dffe13_wi <= input_dataa_infinite_dffe12_wo;
3897
        input_dataa_infinite_dffe13_wo <= input_dataa_infinite_dffe13;
3898
        input_dataa_infinite_dffe14_wi <= input_dataa_infinite_dffe13_wo;
3899
        input_dataa_infinite_dffe14_wo <= input_dataa_infinite_dffe14;
3900
        input_dataa_infinite_dffe15_wi <= input_dataa_infinite_dffe14_wo;
3901
        input_dataa_infinite_dffe15_wo <= input_dataa_infinite_dffe15_wi;
3902
        input_dataa_infinite_w <= wire_w_lg_w_exp_a_all_one_w_range84w220w(0);
3903
        input_dataa_nan_dffe11_wi <= input_dataa_nan_w;
3904
        input_dataa_nan_dffe11_wo <= input_dataa_nan_dffe11_wi;
3905
        input_dataa_nan_dffe12_wi <= input_dataa_nan_dffe11_wo;
3906
        input_dataa_nan_dffe12_wo <= input_dataa_nan_dffe12;
3907
        input_dataa_nan_w <= (exp_a_all_one_w(7) AND man_a_not_zero_w(22));
3908
        input_dataa_zero_dffe11_wi <= input_dataa_zero_w;
3909
        input_dataa_zero_dffe11_wo <= input_dataa_zero_dffe11_wi;
3910
        input_dataa_zero_w <= ((NOT exp_a_not_zero_w(7)) AND wire_w_lg_w_man_a_not_zero_w_range215w219w(0));
3911
        input_datab_denormal_dffe11_wi <= input_datab_denormal_w;
3912
        input_datab_denormal_dffe11_wo <= input_datab_denormal_dffe11_wi;
3913
        input_datab_denormal_w <= ((NOT exp_b_not_zero_w(7)) AND man_b_not_zero_w(22));
3914
        input_datab_infinite_dffe11_wi <= input_datab_infinite_w;
3915
        input_datab_infinite_dffe11_wo <= input_datab_infinite_dffe11_wi;
3916
        input_datab_infinite_dffe12_wi <= input_datab_infinite_dffe11_wo;
3917
        input_datab_infinite_dffe12_wo <= input_datab_infinite_dffe12;
3918
        input_datab_infinite_dffe13_wi <= input_datab_infinite_dffe12_wo;
3919
        input_datab_infinite_dffe13_wo <= input_datab_infinite_dffe13;
3920
        input_datab_infinite_dffe14_wi <= input_datab_infinite_dffe13_wo;
3921
        input_datab_infinite_dffe14_wo <= input_datab_infinite_dffe14;
3922
        input_datab_infinite_dffe15_wi <= input_datab_infinite_dffe14_wo;
3923
        input_datab_infinite_dffe15_wo <= input_datab_infinite_dffe15_wi;
3924
        input_datab_infinite_w <= wire_w_lg_w_exp_b_all_one_w_range86w226w(0);
3925
        input_datab_nan_dffe11_wi <= input_datab_nan_w;
3926
        input_datab_nan_dffe11_wo <= input_datab_nan_dffe11_wi;
3927
        input_datab_nan_dffe12_wi <= input_datab_nan_dffe11_wo;
3928
        input_datab_nan_dffe12_wo <= input_datab_nan_dffe12;
3929
        input_datab_nan_w <= (exp_b_all_one_w(7) AND man_b_not_zero_w(22));
3930
        input_datab_zero_dffe11_wi <= input_datab_zero_w;
3931
        input_datab_zero_dffe11_wo <= input_datab_zero_dffe11_wi;
3932
        input_datab_zero_w <= ((NOT exp_b_not_zero_w(7)) AND wire_w_lg_w_man_b_not_zero_w_range218w225w(0));
3933
        input_is_infinite_dffe1_wi <= (input_dataa_infinite_dffe15_wo OR input_datab_infinite_dffe15_wo);
3934
        input_is_infinite_dffe1_wo <= input_is_infinite_dffe1;
3935
        input_is_infinite_dffe21_wi <= input_is_infinite_dffe27_wo;
3936
        input_is_infinite_dffe21_wo <= input_is_infinite_dffe21;
3937
        input_is_infinite_dffe22_wi <= input_is_infinite_dffe2_wo;
3938
        input_is_infinite_dffe22_wo <= input_is_infinite_dffe22_wi;
3939
        input_is_infinite_dffe23_wi <= input_is_infinite_dffe21_wo;
3940
        input_is_infinite_dffe23_wo <= input_is_infinite_dffe23;
3941
        input_is_infinite_dffe25_wi <= input_is_infinite_dffe1_wo;
3942
        input_is_infinite_dffe25_wo <= input_is_infinite_dffe25_wi;
3943
        input_is_infinite_dffe26_wi <= input_is_infinite_dffe23_wo;
3944
        input_is_infinite_dffe26_wo <= input_is_infinite_dffe26_wi;
3945
        input_is_infinite_dffe27_wi <= input_is_infinite_dffe22_wo;
3946
        input_is_infinite_dffe27_wo <= input_is_infinite_dffe27_wi;
3947
        input_is_infinite_dffe2_wi <= input_is_infinite_dffe25_wo;
3948
        input_is_infinite_dffe2_wo <= input_is_infinite_dffe2;
3949
        input_is_infinite_dffe31_wi <= input_is_infinite_dffe26_wo;
3950
        input_is_infinite_dffe31_wo <= input_is_infinite_dffe31;
3951
        input_is_infinite_dffe32_wi <= input_is_infinite_dffe31_wo;
3952
        input_is_infinite_dffe32_wo <= input_is_infinite_dffe32_wi;
3953
        input_is_infinite_dffe33_wi <= input_is_infinite_dffe32_wo;
3954
        input_is_infinite_dffe33_wo <= input_is_infinite_dffe33_wi;
3955
        input_is_infinite_dffe3_wi <= input_is_infinite_dffe33_wo;
3956
        input_is_infinite_dffe3_wo <= input_is_infinite_dffe3;
3957
        input_is_infinite_dffe41_wi <= input_is_infinite_dffe42_wo;
3958
        input_is_infinite_dffe41_wo <= input_is_infinite_dffe41;
3959
        input_is_infinite_dffe42_wi <= input_is_infinite_dffe3_wo;
3960
        input_is_infinite_dffe42_wo <= input_is_infinite_dffe42_wi;
3961
        input_is_infinite_dffe4_wi <= input_is_infinite_dffe41_wo;
3962
        input_is_infinite_dffe4_wo <= input_is_infinite_dffe4;
3963
        input_is_nan_dffe13_wi <= (input_dataa_nan_dffe12_wo OR input_datab_nan_dffe12_wo);
3964
        input_is_nan_dffe13_wo <= input_is_nan_dffe13;
3965
        input_is_nan_dffe14_wi <= input_is_nan_dffe13_wo;
3966
        input_is_nan_dffe14_wo <= input_is_nan_dffe14;
3967
        input_is_nan_dffe15_wi <= input_is_nan_dffe14_wo;
3968
        input_is_nan_dffe15_wo <= input_is_nan_dffe15_wi;
3969
        input_is_nan_dffe1_wi <= input_is_nan_dffe15_wo;
3970
        input_is_nan_dffe1_wo <= input_is_nan_dffe1;
3971
        input_is_nan_dffe21_wi <= input_is_nan_dffe27_wo;
3972
        input_is_nan_dffe21_wo <= input_is_nan_dffe21;
3973
        input_is_nan_dffe22_wi <= input_is_nan_dffe2_wo;
3974
        input_is_nan_dffe22_wo <= input_is_nan_dffe22_wi;
3975
        input_is_nan_dffe23_wi <= input_is_nan_dffe21_wo;
3976
        input_is_nan_dffe23_wo <= input_is_nan_dffe23;
3977
        input_is_nan_dffe25_wi <= input_is_nan_dffe1_wo;
3978
        input_is_nan_dffe25_wo <= input_is_nan_dffe25_wi;
3979
        input_is_nan_dffe26_wi <= input_is_nan_dffe23_wo;
3980
        input_is_nan_dffe26_wo <= input_is_nan_dffe26_wi;
3981
        input_is_nan_dffe27_wi <= input_is_nan_dffe22_wo;
3982
        input_is_nan_dffe27_wo <= input_is_nan_dffe27_wi;
3983
        input_is_nan_dffe2_wi <= input_is_nan_dffe25_wo;
3984
        input_is_nan_dffe2_wo <= input_is_nan_dffe2;
3985
        input_is_nan_dffe31_wi <= input_is_nan_dffe26_wo;
3986
        input_is_nan_dffe31_wo <= input_is_nan_dffe31;
3987
        input_is_nan_dffe32_wi <= input_is_nan_dffe31_wo;
3988
        input_is_nan_dffe32_wo <= input_is_nan_dffe32_wi;
3989
        input_is_nan_dffe33_wi <= input_is_nan_dffe32_wo;
3990
        input_is_nan_dffe33_wo <= input_is_nan_dffe33_wi;
3991
        input_is_nan_dffe3_wi <= input_is_nan_dffe33_wo;
3992
        input_is_nan_dffe3_wo <= input_is_nan_dffe3;
3993
        input_is_nan_dffe41_wi <= input_is_nan_dffe42_wo;
3994
        input_is_nan_dffe41_wo <= input_is_nan_dffe41;
3995
        input_is_nan_dffe42_wi <= input_is_nan_dffe3_wo;
3996
        input_is_nan_dffe42_wo <= input_is_nan_dffe42_wi;
3997
        input_is_nan_dffe4_wi <= input_is_nan_dffe41_wo;
3998
        input_is_nan_dffe4_wo <= input_is_nan_dffe4;
3999
        man_2comp_res_dataa_w <= ( pos_sign_bit_ext & datab_man_dffe1_wo);
4000
        man_2comp_res_datab_w <= ( pos_sign_bit_ext & dataa_man_dffe1_wo);
4001
        man_2comp_res_w <= ( wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w & wire_man_2comp_res_lower_result);
4002
        man_a_not_zero_w <= ( wire_w_lg_w_dataa_range213w214w & wire_w_lg_w_dataa_range207w208w & wire_w_lg_w_dataa_range201w202w & wire_w_lg_w_dataa_range195w196w & wire_w_lg_w_dataa_range189w190w & wire_w_lg_w_dataa_range183w184w & wire_w_lg_w_dataa_range177w178w & wire_w_lg_w_dataa_range171w172w & wire_w_lg_w_dataa_range165w166w & wire_w_lg_w_dataa_range159w160w & wire_w_lg_w_dataa_range153w154w & wire_w_lg_w_dataa_range147w148w & wire_w_lg_w_dataa_range141w142w & wire_w_lg_w_dataa_range135w136w & wire_w_lg_w_dataa_range129w130w & wire_w_lg_w_dataa_range123w124w & wire_w_lg_w_dataa_range117w118w & wire_w_lg_w_dataa_range111w112w & wire_w_lg_w_dataa_range105w106w & wire_w_lg_w_dataa_range99w100w & wire_w_lg_w_dataa_range93w94w & wire_w_lg_w_dataa_range87w88w & dataa(0));
4003
        man_add_sub_dataa_w <= ( pos_sign_bit_ext & dataa_man_dffe1_wo);
4004
        man_add_sub_datab_w <= ( pos_sign_bit_ext & datab_man_dffe1_wo);
4005
        man_add_sub_res_mag_dffe21_wi <= man_res_mag_w2;
4006
        man_add_sub_res_mag_dffe21_wo <= man_add_sub_res_mag_dffe21;
4007
        man_add_sub_res_mag_dffe23_wi <= man_add_sub_res_mag_dffe21_wo;
4008
        man_add_sub_res_mag_dffe23_wo <= man_add_sub_res_mag_dffe23;
4009
        man_add_sub_res_mag_dffe26_wi <= man_add_sub_res_mag_dffe23_wo;
4010
        man_add_sub_res_mag_dffe26_wo <= man_add_sub_res_mag_dffe26_wi;
4011
        man_add_sub_res_mag_dffe27_wi <= man_add_sub_res_mag_w2;
4012
        man_add_sub_res_mag_dffe27_wo <= man_add_sub_res_mag_dffe27_wi;
4013
        man_add_sub_res_mag_w2 <= (wire_w_lg_w_man_add_sub_w_range372w379w OR wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w);
4014
        man_add_sub_res_sign_dffe21_wo <= man_add_sub_res_sign_dffe21;
4015
        man_add_sub_res_sign_dffe23_wi <= man_add_sub_res_sign_dffe21_wo;
4016
        man_add_sub_res_sign_dffe23_wo <= man_add_sub_res_sign_dffe23;
4017
        man_add_sub_res_sign_dffe26_wi <= man_add_sub_res_sign_dffe23_wo;
4018
        man_add_sub_res_sign_dffe26_wo <= man_add_sub_res_sign_dffe26_wi;
4019
        man_add_sub_res_sign_dffe27_wi <= man_add_sub_res_sign_w2;
4020
        man_add_sub_res_sign_dffe27_wo <= man_add_sub_res_sign_dffe27_wi;
4021
        man_add_sub_res_sign_w2 <= (wire_w_lg_need_complement_dffe22_wo376w(0) OR (wire_w_lg_need_complement_dffe22_wo373w(0) AND man_add_sub_w(27)));
4022
        man_add_sub_w <= ( wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w & wire_man_add_sub_lower_result);
4023
        man_all_zeros_w <= (OTHERS => '0');
4024
        man_b_not_zero_w <= ( wire_w_lg_w_datab_range216w217w & wire_w_lg_w_datab_range210w211w & wire_w_lg_w_datab_range204w205w & wire_w_lg_w_datab_range198w199w & wire_w_lg_w_datab_range192w193w & wire_w_lg_w_datab_range186w187w & wire_w_lg_w_datab_range180w181w & wire_w_lg_w_datab_range174w175w & wire_w_lg_w_datab_range168w169w & wire_w_lg_w_datab_range162w163w & wire_w_lg_w_datab_range156w157w & wire_w_lg_w_datab_range150w151w & wire_w_lg_w_datab_range144w145w & wire_w_lg_w_datab_range138w139w & wire_w_lg_w_datab_range132w133w & wire_w_lg_w_datab_range126w127w & wire_w_lg_w_datab_range120w121w & wire_w_lg_w_datab_range114w115w & wire_w_lg_w_datab_range108w109w & wire_w_lg_w_datab_range102w103w & wire_w_lg_w_datab_range96w97w & wire_w_lg_w_datab_range90w91w & datab(0));
4025
        man_dffe31_wo <= man_dffe31;
4026
        man_intermediate_res_w <= ( "00" & man_res_w3);
4027
        man_leading_zeros_cnt_w <= man_leading_zeros_dffe31_wo;
4028
        man_leading_zeros_dffe31_wi <= (NOT wire_leading_zeroes_cnt_q);
4029
        man_leading_zeros_dffe31_wo <= man_leading_zeros_dffe31;
4030
        man_nan_w <= "10000000000000000000000";
4031
        man_out_dffe5_wi <= (wire_w_lg_force_nan_w652w OR wire_w_lg_w_lg_force_nan_w630w651w);
4032
        man_out_dffe5_wo <= man_out_dffe5;
4033
        man_res_dffe4_wi <= man_rounded_res_w;
4034
        man_res_dffe4_wo <= man_res_dffe4;
4035
        man_res_is_not_zero_dffe31_wi <= man_res_not_zero_dffe26_wo;
4036
        man_res_is_not_zero_dffe31_wo <= man_res_is_not_zero_dffe31;
4037
        man_res_is_not_zero_dffe32_wi <= man_res_is_not_zero_dffe31_wo;
4038
        man_res_is_not_zero_dffe32_wo <= man_res_is_not_zero_dffe32_wi;
4039
        man_res_is_not_zero_dffe33_wi <= man_res_is_not_zero_dffe32_wo;
4040
        man_res_is_not_zero_dffe33_wo <= man_res_is_not_zero_dffe33_wi;
4041
        man_res_is_not_zero_dffe3_wi <= man_res_is_not_zero_dffe33_wo;
4042
        man_res_is_not_zero_dffe3_wo <= man_res_is_not_zero_dffe3;
4043
        man_res_is_not_zero_dffe41_wi <= man_res_is_not_zero_dffe42_wo;
4044
        man_res_is_not_zero_dffe41_wo <= man_res_is_not_zero_dffe41;
4045
        man_res_is_not_zero_dffe42_wi <= man_res_is_not_zero_dffe3_wo;
4046
        man_res_is_not_zero_dffe42_wo <= man_res_is_not_zero_dffe42_wi;
4047
        man_res_is_not_zero_dffe4_wi <= man_res_is_not_zero_dffe41_wo;
4048
        man_res_is_not_zero_dffe4_wo <= man_res_is_not_zero_dffe4;
4049
        man_res_mag_w2 <= (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w OR wire_w412w);
4050
        man_res_not_zero_dffe23_wi <= man_res_not_zero_w2(24);
4051
        man_res_not_zero_dffe23_wo <= man_res_not_zero_dffe23;
4052
        man_res_not_zero_dffe26_wi <= man_res_not_zero_dffe23_wo;
4053
        man_res_not_zero_dffe26_wo <= man_res_not_zero_dffe26_wi;
4054
        man_res_not_zero_w2 <= ( wire_w_lg_w_man_res_not_zero_w2_range487w489w & wire_w_lg_w_man_res_not_zero_w2_range484w486w & wire_w_lg_w_man_res_not_zero_w2_range481w483w & wire_w_lg_w_man_res_not_zero_w2_range478w480w & wire_w_lg_w_man_res_not_zero_w2_range475w477w & wire_w_lg_w_man_res_not_zero_w2_range472w474w & wire_w_lg_w_man_res_not_zero_w2_range469w471w & wire_w_lg_w_man_res_not_zero_w2_range466w468w & wire_w_lg_w_man_res_not_zero_w2_range463w465w & wire_w_lg_w_man_res_not_zero_w2_range460w462w & wire_w_lg_w_man_res_not_zero_w2_range457w459w & wire_w_lg_w_man_res_not_zero_w2_range454w456w & wire_w_lg_w_man_res_not_zero_w2_range451w453w & wire_w_lg_w_man_res_not_zero_w2_range448w450w & wire_w_lg_w_man_res_not_zero_w2_range445w447w & wire_w_lg_w_man_res_not_zero_w2_range442w444w & wire_w_lg_w_man_res_not_zero_w2_range439w441w & wire_w_lg_w_man_res_not_zero_w2_range436w438w & wire_w_lg_w_man_res_not_zero_w2_range433w435w & wire_w_lg_w_man_res_not_zero_w2_range430w432w & wire_w_lg_w_man_res_not_zero_w2_range427w429w & wire_w_lg_w_man_res_not_zero_w2_range424w426w & wire_w_lg_w_man_res_not_zero_w2_range421w423w & wire_w_lg_w_man_res_not_zero_w2_range417w420w & man_add_sub_res_mag_dffe21_wo(1));
4055
        man_res_rounding_add_sub_datab_w <= ( "0000000000000000000000000" & man_rounding_add_value_w);
4056
        man_res_rounding_add_sub_w <= man_res_rounding_add_sub_result_reg;
4057
        man_res_w3 <= wire_lbarrel_shift_result(25 DOWNTO 2);
4058
        man_rounded_res_w <= (wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w OR wire_w587w);
4059
        man_rounding_add_value_w <= (round_bit_dffe3_wo AND (sticky_bit_dffe3_wo OR guard_bit_dffe3_wo));
4060
        man_smaller_dffe13_wi <= man_smaller_w;
4061
        man_smaller_dffe13_wo <= man_smaller_dffe13;
4062
        man_smaller_w <= (wire_w_lg_exp_amb_mux_w280w OR wire_w_lg_w_lg_exp_amb_mux_w276w279w);
4063
        nan <= nan_flag_dffe5_wo;
4064
        nan_flag_dffe5_wi <= nan_flag_w;
4065
        nan_flag_dffe5_wo <= nan_flag_dffe5;
4066
        nan_flag_w <= force_nan_w;
4067
        need_complement_dffe22_wi <= need_complement_dffe2_wo;
4068
        need_complement_dffe22_wo <= need_complement_dffe22_wi;
4069
        need_complement_dffe2_wi <= dataa_sign_dffe25_wo;
4070
        need_complement_dffe2_wo <= need_complement_dffe2;
4071
        overflow <= overflow_flag_dffe5_wo;
4072
        overflow_flag_dffe5_wi <= overflow_flag_w;
4073
        overflow_flag_dffe5_wo <= overflow_flag_dffe5;
4074
        overflow_flag_w <= (wire_w_lg_w_lg_force_nan_w630w659w(0) AND wire_w_lg_input_is_infinite_dffe4_wo658w(0));
4075
        pos_sign_bit_ext <= (OTHERS => '0');
4076
        priority_encoder_1pads_w <= (OTHERS => '1');
4077
        result <= ( sign_out_dffe5_wo & exp_out_dffe5_wo & man_out_dffe5_wo);
4078
        round_bit_dffe21_wi <= round_bit_w;
4079
        round_bit_dffe21_wo <= round_bit_dffe21;
4080
        round_bit_dffe23_wi <= round_bit_dffe21_wo;
4081
        round_bit_dffe23_wo <= round_bit_dffe23;
4082
        round_bit_dffe26_wi <= round_bit_dffe23_wo;
4083
        round_bit_dffe26_wo <= round_bit_dffe26_wi;
4084
        round_bit_dffe31_wi <= round_bit_dffe26_wo;
4085
        round_bit_dffe31_wo <= round_bit_dffe31;
4086
        round_bit_dffe32_wi <= round_bit_dffe31_wo;
4087
        round_bit_dffe32_wo <= round_bit_dffe32_wi;
4088
        round_bit_dffe33_wi <= round_bit_dffe32_wo;
4089
        round_bit_dffe33_wo <= round_bit_dffe33_wi;
4090
        round_bit_dffe3_wi <= round_bit_dffe33_wo;
4091
        round_bit_dffe3_wo <= round_bit_dffe3;
4092
        round_bit_w <= ((((wire_w397w(0) AND man_add_sub_res_mag_dffe27_wo(0)) OR ((wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND man_add_sub_res_mag_dffe27_wo(25)) AND man_add_sub_res_mag_dffe27_wo(1))) OR (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) AND man_add_sub_res_mag_dffe27_wo(2))) OR ((man_add_sub_res_mag_dffe27_wo(26) AND man_add_sub_res_mag_dffe27_wo(25)) AND man_add_sub_res_mag_dffe27_wo(2)));
4093
        rounded_res_infinity_dffe4_wi <= exp_rounded_res_infinity_w;
4094
        rounded_res_infinity_dffe4_wo <= rounded_res_infinity_dffe4;
4095
        rshift_distance_dffe13_wi <= rshift_distance_w;
4096
        rshift_distance_dffe13_wo <= rshift_distance_dffe13;
4097
        rshift_distance_dffe14_wi <= rshift_distance_dffe13_wo;
4098
        rshift_distance_dffe14_wo <= rshift_distance_dffe14;
4099
        rshift_distance_dffe15_wi <= rshift_distance_dffe14_wo;
4100
        rshift_distance_dffe15_wo <= rshift_distance_dffe15_wi;
4101
        rshift_distance_w <= (wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w294w OR wire_w293w);
4102
        sign_dffe31_wi <= ((man_res_not_zero_dffe26_wo AND man_add_sub_res_sign_dffe26_wo) OR wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w(0));
4103
        sign_dffe31_wo <= sign_dffe31;
4104
        sign_dffe32_wi <= sign_dffe31_wo;
4105
        sign_dffe32_wo <= sign_dffe32_wi;
4106
        sign_dffe33_wi <= sign_dffe32_wo;
4107
        sign_dffe33_wo <= sign_dffe33_wi;
4108
        sign_out_dffe5_wi <= (wire_w_lg_force_nan_w630w(0) AND ((force_infinity_w AND infinite_output_sign_dffe4_wo) OR wire_w_lg_w_lg_force_infinity_w629w654w(0)));
4109
        sign_out_dffe5_wo <= sign_out_dffe5;
4110
        sign_res_dffe3_wi <= sign_dffe33_wo;
4111
        sign_res_dffe3_wo <= sign_res_dffe3;
4112
        sign_res_dffe41_wi <= sign_res_dffe42_wo;
4113
        sign_res_dffe41_wo <= sign_res_dffe41;
4114
        sign_res_dffe42_wi <= sign_res_dffe3_wo;
4115
        sign_res_dffe42_wo <= sign_res_dffe42_wi;
4116
        sign_res_dffe4_wi <= sign_res_dffe41_wo;
4117
        sign_res_dffe4_wo <= sign_res_dffe4;
4118
        sticky_bit_cnt_dataa_w <= ( "0" & rshift_distance_dffe15_wo);
4119
        sticky_bit_cnt_datab_w <= ( "0" & wire_trailing_zeros_cnt_q);
4120
        sticky_bit_cnt_res_w <= wire_add_sub3_result;
4121
        sticky_bit_dffe1_wi <= wire_trailing_zeros_limit_comparator_agb;
4122
        sticky_bit_dffe1_wo <= sticky_bit_dffe1;
4123
        sticky_bit_dffe21_wi <= sticky_bit_w;
4124
        sticky_bit_dffe21_wo <= sticky_bit_dffe21;
4125
        sticky_bit_dffe22_wi <= sticky_bit_dffe2_wo;
4126
        sticky_bit_dffe22_wo <= sticky_bit_dffe22_wi;
4127
        sticky_bit_dffe23_wi <= sticky_bit_dffe21_wo;
4128
        sticky_bit_dffe23_wo <= sticky_bit_dffe23;
4129
        sticky_bit_dffe25_wi <= sticky_bit_dffe1_wo;
4130
        sticky_bit_dffe25_wo <= sticky_bit_dffe25_wi;
4131
        sticky_bit_dffe26_wi <= sticky_bit_dffe23_wo;
4132
        sticky_bit_dffe26_wo <= sticky_bit_dffe26_wi;
4133
        sticky_bit_dffe27_wi <= sticky_bit_dffe22_wo;
4134
        sticky_bit_dffe27_wo <= sticky_bit_dffe27_wi;
4135
        sticky_bit_dffe2_wi <= sticky_bit_dffe25_wo;
4136
        sticky_bit_dffe2_wo <= sticky_bit_dffe2;
4137
        sticky_bit_dffe31_wi <= sticky_bit_dffe26_wo;
4138
        sticky_bit_dffe31_wo <= sticky_bit_dffe31;
4139
        sticky_bit_dffe32_wi <= sticky_bit_dffe31_wo;
4140
        sticky_bit_dffe32_wo <= sticky_bit_dffe32_wi;
4141
        sticky_bit_dffe33_wi <= sticky_bit_dffe32_wo;
4142
        sticky_bit_dffe33_wo <= sticky_bit_dffe33_wi;
4143
        sticky_bit_dffe3_wi <= sticky_bit_dffe33_wo;
4144
        sticky_bit_dffe3_wo <= sticky_bit_dffe3;
4145
        sticky_bit_w <= (((wire_w_lg_w397w407w(0) OR ((wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND man_add_sub_res_mag_dffe27_wo(25)) AND wire_w_lg_sticky_bit_dffe27_wo402w(0))) OR (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) AND (wire_w_lg_sticky_bit_dffe27_wo402w(0) OR man_add_sub_res_mag_dffe27_wo(1)))) OR ((man_add_sub_res_mag_dffe27_wo(26) AND man_add_sub_res_mag_dffe27_wo(25)) AND (wire_w_lg_sticky_bit_dffe27_wo402w(0) OR man_add_sub_res_mag_dffe27_wo(1))));
4146
        trailing_zeros_limit_w <= "000010";
4147
        underflow <= underflow_flag_dffe5_wo;
4148
        underflow_flag_dffe5_wi <= underflow_flag_w;
4149
        underflow_flag_dffe5_wo <= underflow_flag_dffe5;
4150
        underflow_flag_w <= (((wire_w_lg_force_nan_w630w(0) AND wire_w_lg_force_infinity_w629w(0)) AND wire_w_lg_force_zero_w628w(0)) AND denormal_res_dffe4_wo);
4151
        zero <= wire_w_lg_zero_flag_n_dffe5_wo663w(0);
4152
        zero_flag_n_dffe5_wi <= zero_flag_n_w;
4153
        zero_flag_n_dffe5_wo <= zero_flag_n_dffe5;
4154
        zero_flag_n_w <= (NOT ((wire_w_lg_force_nan_w630w(0) AND wire_w_lg_force_infinity_w629w(0)) AND wire_w_lg_force_zero_w634w(0)));
4155
        zero_man_sign_dffe21_wi <= zero_man_sign_dffe27_wo;
4156
        zero_man_sign_dffe21_wo <= zero_man_sign_dffe21;
4157
        zero_man_sign_dffe22_wi <= zero_man_sign_dffe2_wo;
4158
        zero_man_sign_dffe22_wo <= zero_man_sign_dffe22_wi;
4159
        zero_man_sign_dffe23_wi <= zero_man_sign_dffe21_wo;
4160
        zero_man_sign_dffe23_wo <= zero_man_sign_dffe23;
4161
        zero_man_sign_dffe26_wi <= zero_man_sign_dffe23_wo;
4162
        zero_man_sign_dffe26_wo <= zero_man_sign_dffe26_wi;
4163
        zero_man_sign_dffe27_wi <= zero_man_sign_dffe22_wo;
4164
        zero_man_sign_dffe27_wo <= zero_man_sign_dffe27_wi;
4165
        zero_man_sign_dffe2_wi <= (dataa_sign_dffe25_wo AND add_sub_dffe25_wo);
4166
        zero_man_sign_dffe2_wo <= zero_man_sign_dffe2;
4167
        wire_w_aligned_dataa_exp_dffe15_wo_range315w <= aligned_dataa_exp_dffe15_wo(7 DOWNTO 0);
4168
        wire_w_aligned_datab_exp_dffe15_wo_range313w <= aligned_datab_exp_dffe15_wo(7 DOWNTO 0);
4169
        wire_w_dataa_range141w(0) <= dataa(10);
4170
        wire_w_dataa_range147w(0) <= dataa(11);
4171
        wire_w_dataa_range153w(0) <= dataa(12);
4172
        wire_w_dataa_range159w(0) <= dataa(13);
4173
        wire_w_dataa_range165w(0) <= dataa(14);
4174
        wire_w_dataa_range171w(0) <= dataa(15);
4175
        wire_w_dataa_range177w(0) <= dataa(16);
4176
        wire_w_dataa_range183w(0) <= dataa(17);
4177
        wire_w_dataa_range189w(0) <= dataa(18);
4178
        wire_w_dataa_range195w(0) <= dataa(19);
4179
        wire_w_dataa_range87w(0) <= dataa(1);
4180
        wire_w_dataa_range201w(0) <= dataa(20);
4181
        wire_w_dataa_range207w(0) <= dataa(21);
4182
        wire_w_dataa_range213w(0) <= dataa(22);
4183
        wire_w_dataa_range17w(0) <= dataa(24);
4184
        wire_w_dataa_range27w(0) <= dataa(25);
4185
        wire_w_dataa_range37w(0) <= dataa(26);
4186
        wire_w_dataa_range47w(0) <= dataa(27);
4187
        wire_w_dataa_range57w(0) <= dataa(28);
4188
        wire_w_dataa_range67w(0) <= dataa(29);
4189
        wire_w_dataa_range93w(0) <= dataa(2);
4190
        wire_w_dataa_range77w(0) <= dataa(30);
4191
        wire_w_dataa_range99w(0) <= dataa(3);
4192
        wire_w_dataa_range105w(0) <= dataa(4);
4193
        wire_w_dataa_range111w(0) <= dataa(5);
4194
        wire_w_dataa_range117w(0) <= dataa(6);
4195
        wire_w_dataa_range123w(0) <= dataa(7);
4196
        wire_w_dataa_range129w(0) <= dataa(8);
4197
        wire_w_dataa_range135w(0) <= dataa(9);
4198
        wire_w_dataa_dffe11_wo_range242w <= dataa_dffe11_wo(22 DOWNTO 0);
4199
        wire_w_dataa_dffe11_wo_range232w <= dataa_dffe11_wo(30 DOWNTO 23);
4200
        wire_w_datab_range144w(0) <= datab(10);
4201
        wire_w_datab_range150w(0) <= datab(11);
4202
        wire_w_datab_range156w(0) <= datab(12);
4203
        wire_w_datab_range162w(0) <= datab(13);
4204
        wire_w_datab_range168w(0) <= datab(14);
4205
        wire_w_datab_range174w(0) <= datab(15);
4206
        wire_w_datab_range180w(0) <= datab(16);
4207
        wire_w_datab_range186w(0) <= datab(17);
4208
        wire_w_datab_range192w(0) <= datab(18);
4209
        wire_w_datab_range198w(0) <= datab(19);
4210
        wire_w_datab_range90w(0) <= datab(1);
4211
        wire_w_datab_range204w(0) <= datab(20);
4212
        wire_w_datab_range210w(0) <= datab(21);
4213
        wire_w_datab_range216w(0) <= datab(22);
4214
        wire_w_datab_range20w(0) <= datab(24);
4215
        wire_w_datab_range30w(0) <= datab(25);
4216
        wire_w_datab_range40w(0) <= datab(26);
4217
        wire_w_datab_range50w(0) <= datab(27);
4218
        wire_w_datab_range60w(0) <= datab(28);
4219
        wire_w_datab_range70w(0) <= datab(29);
4220
        wire_w_datab_range96w(0) <= datab(2);
4221
        wire_w_datab_range80w(0) <= datab(30);
4222
        wire_w_datab_range102w(0) <= datab(3);
4223
        wire_w_datab_range108w(0) <= datab(4);
4224
        wire_w_datab_range114w(0) <= datab(5);
4225
        wire_w_datab_range120w(0) <= datab(6);
4226
        wire_w_datab_range126w(0) <= datab(7);
4227
        wire_w_datab_range132w(0) <= datab(8);
4228
        wire_w_datab_range138w(0) <= datab(9);
4229
        wire_w_datab_dffe11_wo_range261w <= datab_dffe11_wo(22 DOWNTO 0);
4230
        wire_w_datab_dffe11_wo_range251w <= datab_dffe11_wo(30 DOWNTO 23);
4231
        wire_w_exp_a_all_one_w_range7w(0) <= exp_a_all_one_w(0);
4232
        wire_w_exp_a_all_one_w_range24w(0) <= exp_a_all_one_w(1);
4233
        wire_w_exp_a_all_one_w_range34w(0) <= exp_a_all_one_w(2);
4234
        wire_w_exp_a_all_one_w_range44w(0) <= exp_a_all_one_w(3);
4235
        wire_w_exp_a_all_one_w_range54w(0) <= exp_a_all_one_w(4);
4236
        wire_w_exp_a_all_one_w_range64w(0) <= exp_a_all_one_w(5);
4237
        wire_w_exp_a_all_one_w_range74w(0) <= exp_a_all_one_w(6);
4238
        wire_w_exp_a_all_one_w_range84w(0) <= exp_a_all_one_w(7);
4239
        wire_w_exp_a_not_zero_w_range2w(0) <= exp_a_not_zero_w(0);
4240
        wire_w_exp_a_not_zero_w_range19w(0) <= exp_a_not_zero_w(1);
4241
        wire_w_exp_a_not_zero_w_range29w(0) <= exp_a_not_zero_w(2);
4242
        wire_w_exp_a_not_zero_w_range39w(0) <= exp_a_not_zero_w(3);
4243
        wire_w_exp_a_not_zero_w_range49w(0) <= exp_a_not_zero_w(4);
4244
        wire_w_exp_a_not_zero_w_range59w(0) <= exp_a_not_zero_w(5);
4245
        wire_w_exp_a_not_zero_w_range69w(0) <= exp_a_not_zero_w(6);
4246
        wire_w_exp_adjustment2_add_sub_w_range518w(0) <= exp_adjustment2_add_sub_w(1);
4247
        wire_w_exp_adjustment2_add_sub_w_range521w(0) <= exp_adjustment2_add_sub_w(2);
4248
        wire_w_exp_adjustment2_add_sub_w_range524w(0) <= exp_adjustment2_add_sub_w(3);
4249
        wire_w_exp_adjustment2_add_sub_w_range527w(0) <= exp_adjustment2_add_sub_w(4);
4250
        wire_w_exp_adjustment2_add_sub_w_range530w(0) <= exp_adjustment2_add_sub_w(5);
4251
        wire_w_exp_adjustment2_add_sub_w_range533w(0) <= exp_adjustment2_add_sub_w(6);
4252
        wire_w_exp_adjustment2_add_sub_w_range557w <= exp_adjustment2_add_sub_w(7 DOWNTO 0);
4253
        wire_w_exp_adjustment2_add_sub_w_range536w(0) <= exp_adjustment2_add_sub_w(7);
4254
        wire_w_exp_adjustment2_add_sub_w_range511w(0) <= exp_adjustment2_add_sub_w(8);
4255
        wire_w_exp_amb_w_range275w <= exp_amb_w(7 DOWNTO 0);
4256
        wire_w_exp_b_all_one_w_range9w(0) <= exp_b_all_one_w(0);
4257
        wire_w_exp_b_all_one_w_range26w(0) <= exp_b_all_one_w(1);
4258
        wire_w_exp_b_all_one_w_range36w(0) <= exp_b_all_one_w(2);
4259
        wire_w_exp_b_all_one_w_range46w(0) <= exp_b_all_one_w(3);
4260
        wire_w_exp_b_all_one_w_range56w(0) <= exp_b_all_one_w(4);
4261
        wire_w_exp_b_all_one_w_range66w(0) <= exp_b_all_one_w(5);
4262
        wire_w_exp_b_all_one_w_range76w(0) <= exp_b_all_one_w(6);
4263
        wire_w_exp_b_all_one_w_range86w(0) <= exp_b_all_one_w(7);
4264
        wire_w_exp_b_not_zero_w_range5w(0) <= exp_b_not_zero_w(0);
4265
        wire_w_exp_b_not_zero_w_range22w(0) <= exp_b_not_zero_w(1);
4266
        wire_w_exp_b_not_zero_w_range32w(0) <= exp_b_not_zero_w(2);
4267
        wire_w_exp_b_not_zero_w_range42w(0) <= exp_b_not_zero_w(3);
4268
        wire_w_exp_b_not_zero_w_range52w(0) <= exp_b_not_zero_w(4);
4269
        wire_w_exp_b_not_zero_w_range62w(0) <= exp_b_not_zero_w(5);
4270
        wire_w_exp_b_not_zero_w_range72w(0) <= exp_b_not_zero_w(6);
4271
        wire_w_exp_bma_w_range273w <= exp_bma_w(7 DOWNTO 0);
4272
        wire_w_exp_diff_abs_exceed_max_w_range283w(0) <= exp_diff_abs_exceed_max_w(0);
4273
        wire_w_exp_diff_abs_exceed_max_w_range287w(0) <= exp_diff_abs_exceed_max_w(1);
4274
        wire_w_exp_diff_abs_exceed_max_w_range290w(0) <= exp_diff_abs_exceed_max_w(2);
4275
        wire_w_exp_diff_abs_w_range291w <= exp_diff_abs_w(4 DOWNTO 0);
4276
        wire_w_exp_diff_abs_w_range285w(0) <= exp_diff_abs_w(6);
4277
        wire_w_exp_diff_abs_w_range288w(0) <= exp_diff_abs_w(7);
4278
        wire_w_exp_res_max_w_range540w(0) <= exp_res_max_w(0);
4279
        wire_w_exp_res_max_w_range543w(0) <= exp_res_max_w(1);
4280
        wire_w_exp_res_max_w_range545w(0) <= exp_res_max_w(2);
4281
        wire_w_exp_res_max_w_range547w(0) <= exp_res_max_w(3);
4282
        wire_w_exp_res_max_w_range549w(0) <= exp_res_max_w(4);
4283
        wire_w_exp_res_max_w_range551w(0) <= exp_res_max_w(5);
4284
        wire_w_exp_res_max_w_range553w(0) <= exp_res_max_w(6);
4285
        wire_w_exp_res_max_w_range555w(0) <= exp_res_max_w(7);
4286
        wire_w_exp_res_not_zero_w_range516w(0) <= exp_res_not_zero_w(0);
4287
        wire_w_exp_res_not_zero_w_range520w(0) <= exp_res_not_zero_w(1);
4288
        wire_w_exp_res_not_zero_w_range523w(0) <= exp_res_not_zero_w(2);
4289
        wire_w_exp_res_not_zero_w_range526w(0) <= exp_res_not_zero_w(3);
4290
        wire_w_exp_res_not_zero_w_range529w(0) <= exp_res_not_zero_w(4);
4291
        wire_w_exp_res_not_zero_w_range532w(0) <= exp_res_not_zero_w(5);
4292
        wire_w_exp_res_not_zero_w_range535w(0) <= exp_res_not_zero_w(6);
4293
        wire_w_exp_res_not_zero_w_range538w(0) <= exp_res_not_zero_w(7);
4294
        wire_w_exp_rounded_res_max_w_range601w(0) <= exp_rounded_res_max_w(0);
4295
        wire_w_exp_rounded_res_max_w_range605w(0) <= exp_rounded_res_max_w(1);
4296
        wire_w_exp_rounded_res_max_w_range608w(0) <= exp_rounded_res_max_w(2);
4297
        wire_w_exp_rounded_res_max_w_range611w(0) <= exp_rounded_res_max_w(3);
4298
        wire_w_exp_rounded_res_max_w_range614w(0) <= exp_rounded_res_max_w(4);
4299
        wire_w_exp_rounded_res_max_w_range617w(0) <= exp_rounded_res_max_w(5);
4300
        wire_w_exp_rounded_res_max_w_range620w(0) <= exp_rounded_res_max_w(6);
4301
        wire_w_exp_rounded_res_w_range603w(0) <= exp_rounded_res_w(1);
4302
        wire_w_exp_rounded_res_w_range606w(0) <= exp_rounded_res_w(2);
4303
        wire_w_exp_rounded_res_w_range609w(0) <= exp_rounded_res_w(3);
4304
        wire_w_exp_rounded_res_w_range612w(0) <= exp_rounded_res_w(4);
4305
        wire_w_exp_rounded_res_w_range615w(0) <= exp_rounded_res_w(5);
4306
        wire_w_exp_rounded_res_w_range618w(0) <= exp_rounded_res_w(6);
4307
        wire_w_exp_rounded_res_w_range621w(0) <= exp_rounded_res_w(7);
4308
        wire_w_man_a_not_zero_w_range12w(0) <= man_a_not_zero_w(0);
4309
        wire_w_man_a_not_zero_w_range143w(0) <= man_a_not_zero_w(10);
4310
        wire_w_man_a_not_zero_w_range149w(0) <= man_a_not_zero_w(11);
4311
        wire_w_man_a_not_zero_w_range155w(0) <= man_a_not_zero_w(12);
4312
        wire_w_man_a_not_zero_w_range161w(0) <= man_a_not_zero_w(13);
4313
        wire_w_man_a_not_zero_w_range167w(0) <= man_a_not_zero_w(14);
4314
        wire_w_man_a_not_zero_w_range173w(0) <= man_a_not_zero_w(15);
4315
        wire_w_man_a_not_zero_w_range179w(0) <= man_a_not_zero_w(16);
4316
        wire_w_man_a_not_zero_w_range185w(0) <= man_a_not_zero_w(17);
4317
        wire_w_man_a_not_zero_w_range191w(0) <= man_a_not_zero_w(18);
4318
        wire_w_man_a_not_zero_w_range197w(0) <= man_a_not_zero_w(19);
4319
        wire_w_man_a_not_zero_w_range89w(0) <= man_a_not_zero_w(1);
4320
        wire_w_man_a_not_zero_w_range203w(0) <= man_a_not_zero_w(20);
4321
        wire_w_man_a_not_zero_w_range209w(0) <= man_a_not_zero_w(21);
4322
        wire_w_man_a_not_zero_w_range215w(0) <= man_a_not_zero_w(22);
4323
        wire_w_man_a_not_zero_w_range95w(0) <= man_a_not_zero_w(2);
4324
        wire_w_man_a_not_zero_w_range101w(0) <= man_a_not_zero_w(3);
4325
        wire_w_man_a_not_zero_w_range107w(0) <= man_a_not_zero_w(4);
4326
        wire_w_man_a_not_zero_w_range113w(0) <= man_a_not_zero_w(5);
4327
        wire_w_man_a_not_zero_w_range119w(0) <= man_a_not_zero_w(6);
4328
        wire_w_man_a_not_zero_w_range125w(0) <= man_a_not_zero_w(7);
4329
        wire_w_man_a_not_zero_w_range131w(0) <= man_a_not_zero_w(8);
4330
        wire_w_man_a_not_zero_w_range137w(0) <= man_a_not_zero_w(9);
4331
        wire_w_man_add_sub_res_mag_dffe21_wo_range443w(0) <= man_add_sub_res_mag_dffe21_wo(10);
4332
        wire_w_man_add_sub_res_mag_dffe21_wo_range446w(0) <= man_add_sub_res_mag_dffe21_wo(11);
4333
        wire_w_man_add_sub_res_mag_dffe21_wo_range449w(0) <= man_add_sub_res_mag_dffe21_wo(12);
4334
        wire_w_man_add_sub_res_mag_dffe21_wo_range452w(0) <= man_add_sub_res_mag_dffe21_wo(13);
4335
        wire_w_man_add_sub_res_mag_dffe21_wo_range455w(0) <= man_add_sub_res_mag_dffe21_wo(14);
4336
        wire_w_man_add_sub_res_mag_dffe21_wo_range458w(0) <= man_add_sub_res_mag_dffe21_wo(15);
4337
        wire_w_man_add_sub_res_mag_dffe21_wo_range461w(0) <= man_add_sub_res_mag_dffe21_wo(16);
4338
        wire_w_man_add_sub_res_mag_dffe21_wo_range464w(0) <= man_add_sub_res_mag_dffe21_wo(17);
4339
        wire_w_man_add_sub_res_mag_dffe21_wo_range467w(0) <= man_add_sub_res_mag_dffe21_wo(18);
4340
        wire_w_man_add_sub_res_mag_dffe21_wo_range470w(0) <= man_add_sub_res_mag_dffe21_wo(19);
4341
        wire_w_man_add_sub_res_mag_dffe21_wo_range473w(0) <= man_add_sub_res_mag_dffe21_wo(20);
4342
        wire_w_man_add_sub_res_mag_dffe21_wo_range476w(0) <= man_add_sub_res_mag_dffe21_wo(21);
4343
        wire_w_man_add_sub_res_mag_dffe21_wo_range479w(0) <= man_add_sub_res_mag_dffe21_wo(22);
4344
        wire_w_man_add_sub_res_mag_dffe21_wo_range482w(0) <= man_add_sub_res_mag_dffe21_wo(23);
4345
        wire_w_man_add_sub_res_mag_dffe21_wo_range485w(0) <= man_add_sub_res_mag_dffe21_wo(24);
4346
        wire_w_man_add_sub_res_mag_dffe21_wo_range488w(0) <= man_add_sub_res_mag_dffe21_wo(25);
4347
        wire_w_man_add_sub_res_mag_dffe21_wo_range419w(0) <= man_add_sub_res_mag_dffe21_wo(2);
4348
        wire_w_man_add_sub_res_mag_dffe21_wo_range422w(0) <= man_add_sub_res_mag_dffe21_wo(3);
4349
        wire_w_man_add_sub_res_mag_dffe21_wo_range425w(0) <= man_add_sub_res_mag_dffe21_wo(4);
4350
        wire_w_man_add_sub_res_mag_dffe21_wo_range428w(0) <= man_add_sub_res_mag_dffe21_wo(5);
4351
        wire_w_man_add_sub_res_mag_dffe21_wo_range431w(0) <= man_add_sub_res_mag_dffe21_wo(6);
4352
        wire_w_man_add_sub_res_mag_dffe21_wo_range434w(0) <= man_add_sub_res_mag_dffe21_wo(7);
4353
        wire_w_man_add_sub_res_mag_dffe21_wo_range437w(0) <= man_add_sub_res_mag_dffe21_wo(8);
4354
        wire_w_man_add_sub_res_mag_dffe21_wo_range440w(0) <= man_add_sub_res_mag_dffe21_wo(9);
4355
        wire_w_man_add_sub_res_mag_dffe27_wo_range396w(0) <= man_add_sub_res_mag_dffe27_wo(0);
4356
        wire_w_man_add_sub_res_mag_dffe27_wo_range411w <= man_add_sub_res_mag_dffe27_wo(25 DOWNTO 0);
4357
        wire_w_man_add_sub_res_mag_dffe27_wo_range387w(0) <= man_add_sub_res_mag_dffe27_wo(25);
4358
        wire_w_man_add_sub_res_mag_dffe27_wo_range413w <= man_add_sub_res_mag_dffe27_wo(26 DOWNTO 1);
4359
        wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) <= man_add_sub_res_mag_dffe27_wo(26);
4360
        wire_w_man_add_sub_w_range372w(0) <= man_add_sub_w(27);
4361
        wire_w_man_b_not_zero_w_range15w(0) <= man_b_not_zero_w(0);
4362
        wire_w_man_b_not_zero_w_range146w(0) <= man_b_not_zero_w(10);
4363
        wire_w_man_b_not_zero_w_range152w(0) <= man_b_not_zero_w(11);
4364
        wire_w_man_b_not_zero_w_range158w(0) <= man_b_not_zero_w(12);
4365
        wire_w_man_b_not_zero_w_range164w(0) <= man_b_not_zero_w(13);
4366
        wire_w_man_b_not_zero_w_range170w(0) <= man_b_not_zero_w(14);
4367
        wire_w_man_b_not_zero_w_range176w(0) <= man_b_not_zero_w(15);
4368
        wire_w_man_b_not_zero_w_range182w(0) <= man_b_not_zero_w(16);
4369
        wire_w_man_b_not_zero_w_range188w(0) <= man_b_not_zero_w(17);
4370
        wire_w_man_b_not_zero_w_range194w(0) <= man_b_not_zero_w(18);
4371
        wire_w_man_b_not_zero_w_range200w(0) <= man_b_not_zero_w(19);
4372
        wire_w_man_b_not_zero_w_range92w(0) <= man_b_not_zero_w(1);
4373
        wire_w_man_b_not_zero_w_range206w(0) <= man_b_not_zero_w(20);
4374
        wire_w_man_b_not_zero_w_range212w(0) <= man_b_not_zero_w(21);
4375
        wire_w_man_b_not_zero_w_range218w(0) <= man_b_not_zero_w(22);
4376
        wire_w_man_b_not_zero_w_range98w(0) <= man_b_not_zero_w(2);
4377
        wire_w_man_b_not_zero_w_range104w(0) <= man_b_not_zero_w(3);
4378
        wire_w_man_b_not_zero_w_range110w(0) <= man_b_not_zero_w(4);
4379
        wire_w_man_b_not_zero_w_range116w(0) <= man_b_not_zero_w(5);
4380
        wire_w_man_b_not_zero_w_range122w(0) <= man_b_not_zero_w(6);
4381
        wire_w_man_b_not_zero_w_range128w(0) <= man_b_not_zero_w(7);
4382
        wire_w_man_b_not_zero_w_range134w(0) <= man_b_not_zero_w(8);
4383
        wire_w_man_b_not_zero_w_range140w(0) <= man_b_not_zero_w(9);
4384
        wire_w_man_res_not_zero_w2_range417w(0) <= man_res_not_zero_w2(0);
4385
        wire_w_man_res_not_zero_w2_range448w(0) <= man_res_not_zero_w2(10);
4386
        wire_w_man_res_not_zero_w2_range451w(0) <= man_res_not_zero_w2(11);
4387
        wire_w_man_res_not_zero_w2_range454w(0) <= man_res_not_zero_w2(12);
4388
        wire_w_man_res_not_zero_w2_range457w(0) <= man_res_not_zero_w2(13);
4389
        wire_w_man_res_not_zero_w2_range460w(0) <= man_res_not_zero_w2(14);
4390
        wire_w_man_res_not_zero_w2_range463w(0) <= man_res_not_zero_w2(15);
4391
        wire_w_man_res_not_zero_w2_range466w(0) <= man_res_not_zero_w2(16);
4392
        wire_w_man_res_not_zero_w2_range469w(0) <= man_res_not_zero_w2(17);
4393
        wire_w_man_res_not_zero_w2_range472w(0) <= man_res_not_zero_w2(18);
4394
        wire_w_man_res_not_zero_w2_range475w(0) <= man_res_not_zero_w2(19);
4395
        wire_w_man_res_not_zero_w2_range421w(0) <= man_res_not_zero_w2(1);
4396
        wire_w_man_res_not_zero_w2_range478w(0) <= man_res_not_zero_w2(20);
4397
        wire_w_man_res_not_zero_w2_range481w(0) <= man_res_not_zero_w2(21);
4398
        wire_w_man_res_not_zero_w2_range484w(0) <= man_res_not_zero_w2(22);
4399
        wire_w_man_res_not_zero_w2_range487w(0) <= man_res_not_zero_w2(23);
4400
        wire_w_man_res_not_zero_w2_range424w(0) <= man_res_not_zero_w2(2);
4401
        wire_w_man_res_not_zero_w2_range427w(0) <= man_res_not_zero_w2(3);
4402
        wire_w_man_res_not_zero_w2_range430w(0) <= man_res_not_zero_w2(4);
4403
        wire_w_man_res_not_zero_w2_range433w(0) <= man_res_not_zero_w2(5);
4404
        wire_w_man_res_not_zero_w2_range436w(0) <= man_res_not_zero_w2(6);
4405
        wire_w_man_res_not_zero_w2_range439w(0) <= man_res_not_zero_w2(7);
4406
        wire_w_man_res_not_zero_w2_range442w(0) <= man_res_not_zero_w2(8);
4407
        wire_w_man_res_not_zero_w2_range445w(0) <= man_res_not_zero_w2(9);
4408
        wire_w_man_res_rounding_add_sub_w_range584w <= man_res_rounding_add_sub_w(22 DOWNTO 0);
4409
        wire_w_man_res_rounding_add_sub_w_range588w <= man_res_rounding_add_sub_w(23 DOWNTO 1);
4410
        wire_w_man_res_rounding_add_sub_w_range585w(0) <= man_res_rounding_add_sub_w(24);
4411
        lbarrel_shift :  CI_ALTFP_ADD_SUB_altbarrel_shift_1qd
4412
          PORT MAP (
4413
                aclr => aclr,
4414
                clk_en => clk_en,
4415
                clock => clock,
4416
                data => man_dffe31_wo,
4417
                distance => man_leading_zeros_cnt_w,
4418
                result => wire_lbarrel_shift_result
4419
          );
4420
        wire_rbarrel_shift_data <= ( man_smaller_dffe13_wo & "00");
4421
        rbarrel_shift :  CI_ALTFP_ADD_SUB_altbarrel_shift_7tf
4422
          PORT MAP (
4423
                aclr => aclr,
4424
                clk_en => clk_en,
4425
                clock => clock,
4426
                data => wire_rbarrel_shift_data,
4427
                distance => rshift_distance_dffe13_wo,
4428
                result => wire_rbarrel_shift_result
4429
          );
4430
        wire_leading_zeroes_cnt_data <= ( man_add_sub_res_mag_dffe21_wo(25 DOWNTO 1) & "1" & "000000");
4431
        leading_zeroes_cnt :  CI_ALTFP_ADD_SUB_altpriority_encoder_ou8
4432
          PORT MAP (
4433
                aclr => aclr,
4434
                clk_en => clk_en,
4435
                clock => clock,
4436
                data => wire_leading_zeroes_cnt_data,
4437
                q => wire_leading_zeroes_cnt_q
4438
          );
4439
        wire_trailing_zeros_cnt_data <= ( "111111111" & man_smaller_dffe13_wo(22 DOWNTO 0));
4440
        trailing_zeros_cnt :  CI_ALTFP_ADD_SUB_altpriority_encoder_cna
4441
          PORT MAP (
4442
                aclr => aclr,
4443
                clk_en => clk_en,
4444
                clock => clock,
4445
                data => wire_trailing_zeros_cnt_data,
4446
                q => wire_trailing_zeros_cnt_q
4447
          );
4448
        PROCESS (clock, aclr)
4449
        BEGIN
4450
                IF (aclr = '1') THEN aligned_dataa_exp_dffe12 <= (OTHERS => '0');
4451
                ELSIF (clock = '1' AND clock'event) THEN
4452
                        IF (clk_en = '1') THEN aligned_dataa_exp_dffe12 <= aligned_dataa_exp_dffe12_wi;
4453
                        END IF;
4454
                END IF;
4455
        END PROCESS;
4456
        PROCESS (clock, aclr)
4457
        BEGIN
4458
                IF (aclr = '1') THEN aligned_dataa_exp_dffe13 <= (OTHERS => '0');
4459
                ELSIF (clock = '1' AND clock'event) THEN
4460
                        IF (clk_en = '1') THEN aligned_dataa_exp_dffe13 <= aligned_dataa_exp_dffe13_wi;
4461
                        END IF;
4462
                END IF;
4463
        END PROCESS;
4464
        PROCESS (clock, aclr)
4465
        BEGIN
4466
                IF (aclr = '1') THEN aligned_dataa_exp_dffe14 <= (OTHERS => '0');
4467
                ELSIF (clock = '1' AND clock'event) THEN
4468
                        IF (clk_en = '1') THEN aligned_dataa_exp_dffe14 <= aligned_dataa_exp_dffe14_wi;
4469
                        END IF;
4470
                END IF;
4471
        END PROCESS;
4472
        PROCESS (clock, aclr)
4473
        BEGIN
4474
                IF (aclr = '1') THEN aligned_dataa_man_dffe12 <= (OTHERS => '0');
4475
                ELSIF (clock = '1' AND clock'event) THEN
4476
                        IF (clk_en = '1') THEN aligned_dataa_man_dffe12 <= aligned_dataa_man_dffe12_wi;
4477
                        END IF;
4478
                END IF;
4479
        END PROCESS;
4480
        PROCESS (clock, aclr)
4481
        BEGIN
4482
                IF (aclr = '1') THEN aligned_dataa_man_dffe13 <= (OTHERS => '0');
4483
                ELSIF (clock = '1' AND clock'event) THEN
4484
                        IF (clk_en = '1') THEN aligned_dataa_man_dffe13 <= aligned_dataa_man_dffe13_wi;
4485
                        END IF;
4486
                END IF;
4487
        END PROCESS;
4488
        PROCESS (clock, aclr)
4489
        BEGIN
4490
                IF (aclr = '1') THEN aligned_dataa_man_dffe14 <= (OTHERS => '0');
4491
                ELSIF (clock = '1' AND clock'event) THEN
4492
                        IF (clk_en = '1') THEN aligned_dataa_man_dffe14 <= aligned_dataa_man_dffe14_wi;
4493
                        END IF;
4494
                END IF;
4495
        END PROCESS;
4496
        PROCESS (clock, aclr)
4497
        BEGIN
4498
                IF (aclr = '1') THEN aligned_dataa_sign_dffe12 <= '0';
4499
                ELSIF (clock = '1' AND clock'event) THEN
4500
                        IF (clk_en = '1') THEN aligned_dataa_sign_dffe12 <= aligned_dataa_sign_dffe12_wi;
4501
                        END IF;
4502
                END IF;
4503
        END PROCESS;
4504
        PROCESS (clock, aclr)
4505
        BEGIN
4506
                IF (aclr = '1') THEN aligned_dataa_sign_dffe13 <= '0';
4507
                ELSIF (clock = '1' AND clock'event) THEN
4508
                        IF (clk_en = '1') THEN aligned_dataa_sign_dffe13 <= aligned_dataa_sign_dffe13_wi;
4509
                        END IF;
4510
                END IF;
4511
        END PROCESS;
4512
        PROCESS (clock, aclr)
4513
        BEGIN
4514
                IF (aclr = '1') THEN aligned_dataa_sign_dffe14 <= '0';
4515
                ELSIF (clock = '1' AND clock'event) THEN
4516
                        IF (clk_en = '1') THEN aligned_dataa_sign_dffe14 <= aligned_dataa_sign_dffe14_wi;
4517
                        END IF;
4518
                END IF;
4519
        END PROCESS;
4520
        PROCESS (clock, aclr)
4521
        BEGIN
4522
                IF (aclr = '1') THEN aligned_datab_exp_dffe12 <= (OTHERS => '0');
4523
                ELSIF (clock = '1' AND clock'event) THEN
4524
                        IF (clk_en = '1') THEN aligned_datab_exp_dffe12 <= aligned_datab_exp_dffe12_wi;
4525
                        END IF;
4526
                END IF;
4527
        END PROCESS;
4528
        PROCESS (clock, aclr)
4529
        BEGIN
4530
                IF (aclr = '1') THEN aligned_datab_exp_dffe13 <= (OTHERS => '0');
4531
                ELSIF (clock = '1' AND clock'event) THEN
4532
                        IF (clk_en = '1') THEN aligned_datab_exp_dffe13 <= aligned_datab_exp_dffe13_wi;
4533
                        END IF;
4534
                END IF;
4535
        END PROCESS;
4536
        PROCESS (clock, aclr)
4537
        BEGIN
4538
                IF (aclr = '1') THEN aligned_datab_exp_dffe14 <= (OTHERS => '0');
4539
                ELSIF (clock = '1' AND clock'event) THEN
4540
                        IF (clk_en = '1') THEN aligned_datab_exp_dffe14 <= aligned_datab_exp_dffe14_wi;
4541
                        END IF;
4542
                END IF;
4543
        END PROCESS;
4544
        PROCESS (clock, aclr)
4545
        BEGIN
4546
                IF (aclr = '1') THEN aligned_datab_man_dffe12 <= (OTHERS => '0');
4547
                ELSIF (clock = '1' AND clock'event) THEN
4548
                        IF (clk_en = '1') THEN aligned_datab_man_dffe12 <= aligned_datab_man_dffe12_wi;
4549
                        END IF;
4550
                END IF;
4551
        END PROCESS;
4552
        PROCESS (clock, aclr)
4553
        BEGIN
4554
                IF (aclr = '1') THEN aligned_datab_man_dffe13 <= (OTHERS => '0');
4555
                ELSIF (clock = '1' AND clock'event) THEN
4556
                        IF (clk_en = '1') THEN aligned_datab_man_dffe13 <= aligned_datab_man_dffe13_wi;
4557
                        END IF;
4558
                END IF;
4559
        END PROCESS;
4560
        PROCESS (clock, aclr)
4561
        BEGIN
4562
                IF (aclr = '1') THEN aligned_datab_man_dffe14 <= (OTHERS => '0');
4563
                ELSIF (clock = '1' AND clock'event) THEN
4564
                        IF (clk_en = '1') THEN aligned_datab_man_dffe14 <= aligned_datab_man_dffe14_wi;
4565
                        END IF;
4566
                END IF;
4567
        END PROCESS;
4568
        PROCESS (clock, aclr)
4569
        BEGIN
4570
                IF (aclr = '1') THEN aligned_datab_sign_dffe12 <= '0';
4571
                ELSIF (clock = '1' AND clock'event) THEN
4572
                        IF (clk_en = '1') THEN aligned_datab_sign_dffe12 <= aligned_datab_sign_dffe12_wi;
4573
                        END IF;
4574
                END IF;
4575
        END PROCESS;
4576
        PROCESS (clock, aclr)
4577
        BEGIN
4578
                IF (aclr = '1') THEN aligned_datab_sign_dffe13 <= '0';
4579
                ELSIF (clock = '1' AND clock'event) THEN
4580
                        IF (clk_en = '1') THEN aligned_datab_sign_dffe13 <= aligned_datab_sign_dffe13_wi;
4581
                        END IF;
4582
                END IF;
4583
        END PROCESS;
4584
        PROCESS (clock, aclr)
4585
        BEGIN
4586
                IF (aclr = '1') THEN aligned_datab_sign_dffe14 <= '0';
4587
                ELSIF (clock = '1' AND clock'event) THEN
4588
                        IF (clk_en = '1') THEN aligned_datab_sign_dffe14 <= aligned_datab_sign_dffe14_wi;
4589
                        END IF;
4590
                END IF;
4591
        END PROCESS;
4592
        PROCESS (clock, aclr)
4593
        BEGIN
4594
                IF (aclr = '1') THEN both_inputs_are_infinite_dffe1 <= '0';
4595
                ELSIF (clock = '1' AND clock'event) THEN
4596
                        IF (clk_en = '1') THEN both_inputs_are_infinite_dffe1 <= both_inputs_are_infinite_dffe1_wi;
4597
                        END IF;
4598
                END IF;
4599
        END PROCESS;
4600
        PROCESS (clock, aclr)
4601
        BEGIN
4602
                IF (aclr = '1') THEN data_exp_dffe1 <= (OTHERS => '0');
4603
                ELSIF (clock = '1' AND clock'event) THEN
4604
                        IF (clk_en = '1') THEN data_exp_dffe1 <= data_exp_dffe1_wi;
4605
                        END IF;
4606
                END IF;
4607
        END PROCESS;
4608
        PROCESS (clock, aclr)
4609
        BEGIN
4610
                IF (aclr = '1') THEN dataa_man_dffe1 <= (OTHERS => '0');
4611
                ELSIF (clock = '1' AND clock'event) THEN
4612
                        IF (clk_en = '1') THEN dataa_man_dffe1 <= dataa_man_dffe1_wi;
4613
                        END IF;
4614
                END IF;
4615
        END PROCESS;
4616
        PROCESS (clock, aclr)
4617
        BEGIN
4618
                IF (aclr = '1') THEN dataa_sign_dffe1 <= '0';
4619
                ELSIF (clock = '1' AND clock'event) THEN
4620
                        IF (clk_en = '1') THEN dataa_sign_dffe1 <= dataa_sign_dffe1_wi;
4621
                        END IF;
4622
                END IF;
4623
        END PROCESS;
4624
        PROCESS (clock, aclr)
4625
        BEGIN
4626
                IF (aclr = '1') THEN datab_man_dffe1 <= (OTHERS => '0');
4627
                ELSIF (clock = '1' AND clock'event) THEN
4628
                        IF (clk_en = '1') THEN datab_man_dffe1 <= datab_man_dffe1_wi;
4629
                        END IF;
4630
                END IF;
4631
        END PROCESS;
4632
        PROCESS (clock, aclr)
4633
        BEGIN
4634
                IF (aclr = '1') THEN datab_sign_dffe1 <= '0';
4635
                ELSIF (clock = '1' AND clock'event) THEN
4636
                        IF (clk_en = '1') THEN datab_sign_dffe1 <= datab_sign_dffe1_wi;
4637
                        END IF;
4638
                END IF;
4639
        END PROCESS;
4640
        PROCESS (clock, aclr)
4641
        BEGIN
4642
                IF (aclr = '1') THEN denormal_res_dffe3 <= '0';
4643
                ELSIF (clock = '1' AND clock'event) THEN
4644
                        IF (clk_en = '1') THEN denormal_res_dffe3 <= denormal_res_dffe3_wi;
4645
                        END IF;
4646
                END IF;
4647
        END PROCESS;
4648
        PROCESS (clock, aclr)
4649
        BEGIN
4650
                IF (aclr = '1') THEN denormal_res_dffe4 <= '0';
4651
                ELSIF (clock = '1' AND clock'event) THEN
4652
                        IF (clk_en = '1') THEN denormal_res_dffe4 <= denormal_res_dffe4_wi;
4653
                        END IF;
4654
                END IF;
4655
        END PROCESS;
4656
        PROCESS (clock, aclr)
4657
        BEGIN
4658
                IF (aclr = '1') THEN denormal_res_dffe41 <= '0';
4659
                ELSIF (clock = '1' AND clock'event) THEN
4660
                        IF (clk_en = '1') THEN denormal_res_dffe41 <= denormal_res_dffe41_wi;
4661
                        END IF;
4662
                END IF;
4663
        END PROCESS;
4664
        PROCESS (clock, aclr)
4665
        BEGIN
4666
                IF (aclr = '1') THEN exp_adj_dffe21 <= (OTHERS => '0');
4667
                ELSIF (clock = '1' AND clock'event) THEN
4668
                        IF (clk_en = '1') THEN exp_adj_dffe21 <= exp_adj_dffe21_wi;
4669
                        END IF;
4670
                END IF;
4671
        END PROCESS;
4672
        PROCESS (clock, aclr)
4673
        BEGIN
4674
                IF (aclr = '1') THEN exp_adj_dffe23 <= (OTHERS => '0');
4675
                ELSIF (clock = '1' AND clock'event) THEN
4676
                        IF (clk_en = '1') THEN exp_adj_dffe23 <= exp_adj_dffe23_wi;
4677
                        END IF;
4678
                END IF;
4679
        END PROCESS;
4680
        PROCESS (clock, aclr)
4681
        BEGIN
4682
                IF (aclr = '1') THEN exp_amb_mux_dffe13 <= '0';
4683
                ELSIF (clock = '1' AND clock'event) THEN
4684
                        IF (clk_en = '1') THEN exp_amb_mux_dffe13 <= exp_amb_mux_dffe13_wi;
4685
                        END IF;
4686
                END IF;
4687
        END PROCESS;
4688
        PROCESS (clock, aclr)
4689
        BEGIN
4690
                IF (aclr = '1') THEN exp_amb_mux_dffe14 <= '0';
4691
                ELSIF (clock = '1' AND clock'event) THEN
4692
                        IF (clk_en = '1') THEN exp_amb_mux_dffe14 <= exp_amb_mux_dffe14_wi;
4693
                        END IF;
4694
                END IF;
4695
        END PROCESS;
4696
        PROCESS (clock, aclr)
4697
        BEGIN
4698
                IF (aclr = '1') THEN exp_intermediate_res_dffe41 <= (OTHERS => '0');
4699
                ELSIF (clock = '1' AND clock'event) THEN
4700
                        IF (clk_en = '1') THEN exp_intermediate_res_dffe41 <= exp_intermediate_res_dffe41_wi;
4701
                        END IF;
4702
                END IF;
4703
        END PROCESS;
4704
        PROCESS (clock, aclr)
4705
        BEGIN
4706
                IF (aclr = '1') THEN exp_out_dffe5 <= (OTHERS => '0');
4707
                ELSIF (clock = '1' AND clock'event) THEN
4708
                        IF (clk_en = '1') THEN exp_out_dffe5 <= exp_out_dffe5_wi;
4709
                        END IF;
4710
                END IF;
4711
        END PROCESS;
4712
        PROCESS (clock, aclr)
4713
        BEGIN
4714
                IF (aclr = '1') THEN exp_res_dffe2 <= (OTHERS => '0');
4715
                ELSIF (clock = '1' AND clock'event) THEN
4716
                        IF (clk_en = '1') THEN exp_res_dffe2 <= exp_res_dffe2_wi;
4717
                        END IF;
4718
                END IF;
4719
        END PROCESS;
4720
        PROCESS (clock, aclr)
4721
        BEGIN
4722
                IF (aclr = '1') THEN exp_res_dffe21 <= (OTHERS => '0');
4723
                ELSIF (clock = '1' AND clock'event) THEN
4724
                        IF (clk_en = '1') THEN exp_res_dffe21 <= exp_res_dffe21_wi;
4725
                        END IF;
4726
                END IF;
4727
        END PROCESS;
4728
        PROCESS (clock, aclr)
4729
        BEGIN
4730
                IF (aclr = '1') THEN exp_res_dffe23 <= (OTHERS => '0');
4731
                ELSIF (clock = '1' AND clock'event) THEN
4732
                        IF (clk_en = '1') THEN exp_res_dffe23 <= exp_res_dffe23_wi;
4733
                        END IF;
4734
                END IF;
4735
        END PROCESS;
4736
        PROCESS (clock, aclr)
4737
        BEGIN
4738
                IF (aclr = '1') THEN exp_res_dffe3 <= (OTHERS => '0');
4739
                ELSIF (clock = '1' AND clock'event) THEN
4740
                        IF (clk_en = '1') THEN exp_res_dffe3 <= exp_res_dffe3_wi;
4741
                        END IF;
4742
                END IF;
4743
        END PROCESS;
4744
        PROCESS (clock, aclr)
4745
        BEGIN
4746
                IF (aclr = '1') THEN exp_res_dffe4 <= (OTHERS => '0');
4747
                ELSIF (clock = '1' AND clock'event) THEN
4748
                        IF (clk_en = '1') THEN exp_res_dffe4 <= exp_res_dffe4_wi;
4749
                        END IF;
4750
                END IF;
4751
        END PROCESS;
4752
        PROCESS (clock, aclr)
4753
        BEGIN
4754
                IF (aclr = '1') THEN infinite_output_sign_dffe1 <= '0';
4755
                ELSIF (clock = '1' AND clock'event) THEN
4756
                        IF (clk_en = '1') THEN infinite_output_sign_dffe1 <= infinite_output_sign_dffe1_wi;
4757
                        END IF;
4758
                END IF;
4759
        END PROCESS;
4760
        PROCESS (clock, aclr)
4761
        BEGIN
4762
                IF (aclr = '1') THEN infinite_output_sign_dffe2 <= '0';
4763
                ELSIF (clock = '1' AND clock'event) THEN
4764
                        IF (clk_en = '1') THEN infinite_output_sign_dffe2 <= infinite_output_sign_dffe2_wi;
4765
                        END IF;
4766
                END IF;
4767
        END PROCESS;
4768
        PROCESS (clock, aclr)
4769
        BEGIN
4770
                IF (aclr = '1') THEN infinite_output_sign_dffe21 <= '0';
4771
                ELSIF (clock = '1' AND clock'event) THEN
4772
                        IF (clk_en = '1') THEN infinite_output_sign_dffe21 <= infinite_output_sign_dffe21_wi;
4773
                        END IF;
4774
                END IF;
4775
        END PROCESS;
4776
        PROCESS (clock, aclr)
4777
        BEGIN
4778
                IF (aclr = '1') THEN infinite_output_sign_dffe23 <= '0';
4779
                ELSIF (clock = '1' AND clock'event) THEN
4780
                        IF (clk_en = '1') THEN infinite_output_sign_dffe23 <= infinite_output_sign_dffe23_wi;
4781
                        END IF;
4782
                END IF;
4783
        END PROCESS;
4784
        PROCESS (clock, aclr)
4785
        BEGIN
4786
                IF (aclr = '1') THEN infinite_output_sign_dffe3 <= '0';
4787
                ELSIF (clock = '1' AND clock'event) THEN
4788
                        IF (clk_en = '1') THEN infinite_output_sign_dffe3 <= infinite_output_sign_dffe3_wi;
4789
                        END IF;
4790
                END IF;
4791
        END PROCESS;
4792
        PROCESS (clock, aclr)
4793
        BEGIN
4794
                IF (aclr = '1') THEN infinite_output_sign_dffe31 <= '0';
4795
                ELSIF (clock = '1' AND clock'event) THEN
4796
                        IF (clk_en = '1') THEN infinite_output_sign_dffe31 <= infinite_output_sign_dffe31_wi;
4797
                        END IF;
4798
                END IF;
4799
        END PROCESS;
4800
        PROCESS (clock, aclr)
4801
        BEGIN
4802
                IF (aclr = '1') THEN infinite_output_sign_dffe4 <= '0';
4803
                ELSIF (clock = '1' AND clock'event) THEN
4804
                        IF (clk_en = '1') THEN infinite_output_sign_dffe4 <= infinite_output_sign_dffe4_wi;
4805
                        END IF;
4806
                END IF;
4807
        END PROCESS;
4808
        PROCESS (clock, aclr)
4809
        BEGIN
4810
                IF (aclr = '1') THEN infinite_output_sign_dffe41 <= '0';
4811
                ELSIF (clock = '1' AND clock'event) THEN
4812
                        IF (clk_en = '1') THEN infinite_output_sign_dffe41 <= infinite_output_sign_dffe41_wi;
4813
                        END IF;
4814
                END IF;
4815
        END PROCESS;
4816
        PROCESS (clock, aclr)
4817
        BEGIN
4818
                IF (aclr = '1') THEN infinite_res_dffe3 <= '0';
4819
                ELSIF (clock = '1' AND clock'event) THEN
4820
                        IF (clk_en = '1') THEN infinite_res_dffe3 <= infinite_res_dffe3_wi;
4821
                        END IF;
4822
                END IF;
4823
        END PROCESS;
4824
        PROCESS (clock, aclr)
4825
        BEGIN
4826
                IF (aclr = '1') THEN infinite_res_dffe4 <= '0';
4827
                ELSIF (clock = '1' AND clock'event) THEN
4828
                        IF (clk_en = '1') THEN infinite_res_dffe4 <= infinite_res_dffe4_wi;
4829
                        END IF;
4830
                END IF;
4831
        END PROCESS;
4832
        PROCESS (clock, aclr)
4833
        BEGIN
4834
                IF (aclr = '1') THEN infinite_res_dffe41 <= '0';
4835
                ELSIF (clock = '1' AND clock'event) THEN
4836
                        IF (clk_en = '1') THEN infinite_res_dffe41 <= infinite_res_dffe41_wi;
4837
                        END IF;
4838
                END IF;
4839
        END PROCESS;
4840
        PROCESS (clock, aclr)
4841
        BEGIN
4842
                IF (aclr = '1') THEN infinity_magnitude_sub_dffe2 <= '0';
4843
                ELSIF (clock = '1' AND clock'event) THEN
4844
                        IF (clk_en = '1') THEN infinity_magnitude_sub_dffe2 <= infinity_magnitude_sub_dffe2_wi;
4845
                        END IF;
4846
                END IF;
4847
        END PROCESS;
4848
        PROCESS (clock, aclr)
4849
        BEGIN
4850
                IF (aclr = '1') THEN infinity_magnitude_sub_dffe21 <= '0';
4851
                ELSIF (clock = '1' AND clock'event) THEN
4852
                        IF (clk_en = '1') THEN infinity_magnitude_sub_dffe21 <= infinity_magnitude_sub_dffe21_wi;
4853
                        END IF;
4854
                END IF;
4855
        END PROCESS;
4856
        PROCESS (clock, aclr)
4857
        BEGIN
4858
                IF (aclr = '1') THEN infinity_magnitude_sub_dffe23 <= '0';
4859
                ELSIF (clock = '1' AND clock'event) THEN
4860
                        IF (clk_en = '1') THEN infinity_magnitude_sub_dffe23 <= infinity_magnitude_sub_dffe23_wi;
4861
                        END IF;
4862
                END IF;
4863
        END PROCESS;
4864
        PROCESS (clock, aclr)
4865
        BEGIN
4866
                IF (aclr = '1') THEN infinity_magnitude_sub_dffe3 <= '0';
4867
                ELSIF (clock = '1' AND clock'event) THEN
4868
                        IF (clk_en = '1') THEN infinity_magnitude_sub_dffe3 <= infinity_magnitude_sub_dffe3_wi;
4869
                        END IF;
4870
                END IF;
4871
        END PROCESS;
4872
        PROCESS (clock, aclr)
4873
        BEGIN
4874
                IF (aclr = '1') THEN infinity_magnitude_sub_dffe31 <= '0';
4875
                ELSIF (clock = '1' AND clock'event) THEN
4876
                        IF (clk_en = '1') THEN infinity_magnitude_sub_dffe31 <= infinity_magnitude_sub_dffe31_wi;
4877
                        END IF;
4878
                END IF;
4879
        END PROCESS;
4880
        PROCESS (clock, aclr)
4881
        BEGIN
4882
                IF (aclr = '1') THEN infinity_magnitude_sub_dffe4 <= '0';
4883
                ELSIF (clock = '1' AND clock'event) THEN
4884
                        IF (clk_en = '1') THEN infinity_magnitude_sub_dffe4 <= infinity_magnitude_sub_dffe4_wi;
4885
                        END IF;
4886
                END IF;
4887
        END PROCESS;
4888
        PROCESS (clock, aclr)
4889
        BEGIN
4890
                IF (aclr = '1') THEN infinity_magnitude_sub_dffe41 <= '0';
4891
                ELSIF (clock = '1' AND clock'event) THEN
4892
                        IF (clk_en = '1') THEN infinity_magnitude_sub_dffe41 <= infinity_magnitude_sub_dffe41_wi;
4893
                        END IF;
4894
                END IF;
4895
        END PROCESS;
4896
        PROCESS (clock, aclr)
4897
        BEGIN
4898
                IF (aclr = '1') THEN input_dataa_infinite_dffe12 <= '0';
4899
                ELSIF (clock = '1' AND clock'event) THEN
4900
                        IF (clk_en = '1') THEN input_dataa_infinite_dffe12 <= input_dataa_infinite_dffe12_wi;
4901
                        END IF;
4902
                END IF;
4903
        END PROCESS;
4904
        PROCESS (clock, aclr)
4905
        BEGIN
4906
                IF (aclr = '1') THEN input_dataa_infinite_dffe13 <= '0';
4907
                ELSIF (clock = '1' AND clock'event) THEN
4908
                        IF (clk_en = '1') THEN input_dataa_infinite_dffe13 <= input_dataa_infinite_dffe13_wi;
4909
                        END IF;
4910
                END IF;
4911
        END PROCESS;
4912
        PROCESS (clock, aclr)
4913
        BEGIN
4914
                IF (aclr = '1') THEN input_dataa_infinite_dffe14 <= '0';
4915
                ELSIF (clock = '1' AND clock'event) THEN
4916
                        IF (clk_en = '1') THEN input_dataa_infinite_dffe14 <= input_dataa_infinite_dffe14_wi;
4917
                        END IF;
4918
                END IF;
4919
        END PROCESS;
4920
        PROCESS (clock, aclr)
4921
        BEGIN
4922
                IF (aclr = '1') THEN input_dataa_nan_dffe12 <= '0';
4923
                ELSIF (clock = '1' AND clock'event) THEN
4924
                        IF (clk_en = '1') THEN input_dataa_nan_dffe12 <= input_dataa_nan_dffe12_wi;
4925
                        END IF;
4926
                END IF;
4927
        END PROCESS;
4928
        PROCESS (clock, aclr)
4929
        BEGIN
4930
                IF (aclr = '1') THEN input_datab_infinite_dffe12 <= '0';
4931
                ELSIF (clock = '1' AND clock'event) THEN
4932
                        IF (clk_en = '1') THEN input_datab_infinite_dffe12 <= input_datab_infinite_dffe12_wi;
4933
                        END IF;
4934
                END IF;
4935
        END PROCESS;
4936
        PROCESS (clock, aclr)
4937
        BEGIN
4938
                IF (aclr = '1') THEN input_datab_infinite_dffe13 <= '0';
4939
                ELSIF (clock = '1' AND clock'event) THEN
4940
                        IF (clk_en = '1') THEN input_datab_infinite_dffe13 <= input_datab_infinite_dffe13_wi;
4941
                        END IF;
4942
                END IF;
4943
        END PROCESS;
4944
        PROCESS (clock, aclr)
4945
        BEGIN
4946
                IF (aclr = '1') THEN input_datab_infinite_dffe14 <= '0';
4947
                ELSIF (clock = '1' AND clock'event) THEN
4948
                        IF (clk_en = '1') THEN input_datab_infinite_dffe14 <= input_datab_infinite_dffe14_wi;
4949
                        END IF;
4950
                END IF;
4951
        END PROCESS;
4952
        PROCESS (clock, aclr)
4953
        BEGIN
4954
                IF (aclr = '1') THEN input_datab_nan_dffe12 <= '0';
4955
                ELSIF (clock = '1' AND clock'event) THEN
4956
                        IF (clk_en = '1') THEN input_datab_nan_dffe12 <= input_datab_nan_dffe12_wi;
4957
                        END IF;
4958
                END IF;
4959
        END PROCESS;
4960
        PROCESS (clock, aclr)
4961
        BEGIN
4962
                IF (aclr = '1') THEN input_is_infinite_dffe1 <= '0';
4963
                ELSIF (clock = '1' AND clock'event) THEN
4964
                        IF (clk_en = '1') THEN input_is_infinite_dffe1 <= input_is_infinite_dffe1_wi;
4965
                        END IF;
4966
                END IF;
4967
        END PROCESS;
4968
        PROCESS (clock, aclr)
4969
        BEGIN
4970
                IF (aclr = '1') THEN input_is_infinite_dffe2 <= '0';
4971
                ELSIF (clock = '1' AND clock'event) THEN
4972
                        IF (clk_en = '1') THEN input_is_infinite_dffe2 <= input_is_infinite_dffe2_wi;
4973
                        END IF;
4974
                END IF;
4975
        END PROCESS;
4976
        PROCESS (clock, aclr)
4977
        BEGIN
4978
                IF (aclr = '1') THEN input_is_infinite_dffe21 <= '0';
4979
                ELSIF (clock = '1' AND clock'event) THEN
4980
                        IF (clk_en = '1') THEN input_is_infinite_dffe21 <= input_is_infinite_dffe21_wi;
4981
                        END IF;
4982
                END IF;
4983
        END PROCESS;
4984
        PROCESS (clock, aclr)
4985
        BEGIN
4986
                IF (aclr = '1') THEN input_is_infinite_dffe23 <= '0';
4987
                ELSIF (clock = '1' AND clock'event) THEN
4988
                        IF (clk_en = '1') THEN input_is_infinite_dffe23 <= input_is_infinite_dffe23_wi;
4989
                        END IF;
4990
                END IF;
4991
        END PROCESS;
4992
        PROCESS (clock, aclr)
4993
        BEGIN
4994
                IF (aclr = '1') THEN input_is_infinite_dffe3 <= '0';
4995
                ELSIF (clock = '1' AND clock'event) THEN
4996
                        IF (clk_en = '1') THEN input_is_infinite_dffe3 <= input_is_infinite_dffe3_wi;
4997
                        END IF;
4998
                END IF;
4999
        END PROCESS;
5000
        PROCESS (clock, aclr)
5001
        BEGIN
5002
                IF (aclr = '1') THEN input_is_infinite_dffe31 <= '0';
5003
                ELSIF (clock = '1' AND clock'event) THEN
5004
                        IF (clk_en = '1') THEN input_is_infinite_dffe31 <= input_is_infinite_dffe31_wi;
5005
                        END IF;
5006
                END IF;
5007
        END PROCESS;
5008
        PROCESS (clock, aclr)
5009
        BEGIN
5010
                IF (aclr = '1') THEN input_is_infinite_dffe4 <= '0';
5011
                ELSIF (clock = '1' AND clock'event) THEN
5012
                        IF (clk_en = '1') THEN input_is_infinite_dffe4 <= input_is_infinite_dffe4_wi;
5013
                        END IF;
5014
                END IF;
5015
        END PROCESS;
5016
        PROCESS (clock, aclr)
5017
        BEGIN
5018
                IF (aclr = '1') THEN input_is_infinite_dffe41 <= '0';
5019
                ELSIF (clock = '1' AND clock'event) THEN
5020
                        IF (clk_en = '1') THEN input_is_infinite_dffe41 <= input_is_infinite_dffe41_wi;
5021
                        END IF;
5022
                END IF;
5023
        END PROCESS;
5024
        PROCESS (clock, aclr)
5025
        BEGIN
5026
                IF (aclr = '1') THEN input_is_nan_dffe1 <= '0';
5027
                ELSIF (clock = '1' AND clock'event) THEN
5028
                        IF (clk_en = '1') THEN input_is_nan_dffe1 <= input_is_nan_dffe1_wi;
5029
                        END IF;
5030
                END IF;
5031
        END PROCESS;
5032
        PROCESS (clock, aclr)
5033
        BEGIN
5034
                IF (aclr = '1') THEN input_is_nan_dffe13 <= '0';
5035
                ELSIF (clock = '1' AND clock'event) THEN
5036
                        IF (clk_en = '1') THEN input_is_nan_dffe13 <= input_is_nan_dffe13_wi;
5037
                        END IF;
5038
                END IF;
5039
        END PROCESS;
5040
        PROCESS (clock, aclr)
5041
        BEGIN
5042
                IF (aclr = '1') THEN input_is_nan_dffe14 <= '0';
5043
                ELSIF (clock = '1' AND clock'event) THEN
5044
                        IF (clk_en = '1') THEN input_is_nan_dffe14 <= input_is_nan_dffe14_wi;
5045
                        END IF;
5046
                END IF;
5047
        END PROCESS;
5048
        PROCESS (clock, aclr)
5049
        BEGIN
5050
                IF (aclr = '1') THEN input_is_nan_dffe2 <= '0';
5051
                ELSIF (clock = '1' AND clock'event) THEN
5052
                        IF (clk_en = '1') THEN input_is_nan_dffe2 <= input_is_nan_dffe2_wi;
5053
                        END IF;
5054
                END IF;
5055
        END PROCESS;
5056
        PROCESS (clock, aclr)
5057
        BEGIN
5058
                IF (aclr = '1') THEN input_is_nan_dffe21 <= '0';
5059
                ELSIF (clock = '1' AND clock'event) THEN
5060
                        IF (clk_en = '1') THEN input_is_nan_dffe21 <= input_is_nan_dffe21_wi;
5061
                        END IF;
5062
                END IF;
5063
        END PROCESS;
5064
        PROCESS (clock, aclr)
5065
        BEGIN
5066
                IF (aclr = '1') THEN input_is_nan_dffe23 <= '0';
5067
                ELSIF (clock = '1' AND clock'event) THEN
5068
                        IF (clk_en = '1') THEN input_is_nan_dffe23 <= input_is_nan_dffe23_wi;
5069
                        END IF;
5070
                END IF;
5071
        END PROCESS;
5072
        PROCESS (clock, aclr)
5073
        BEGIN
5074
                IF (aclr = '1') THEN input_is_nan_dffe3 <= '0';
5075
                ELSIF (clock = '1' AND clock'event) THEN
5076
                        IF (clk_en = '1') THEN input_is_nan_dffe3 <= input_is_nan_dffe3_wi;
5077
                        END IF;
5078
                END IF;
5079
        END PROCESS;
5080
        PROCESS (clock, aclr)
5081
        BEGIN
5082
                IF (aclr = '1') THEN input_is_nan_dffe31 <= '0';
5083
                ELSIF (clock = '1' AND clock'event) THEN
5084
                        IF (clk_en = '1') THEN input_is_nan_dffe31 <= input_is_nan_dffe31_wi;
5085
                        END IF;
5086
                END IF;
5087
        END PROCESS;
5088
        PROCESS (clock, aclr)
5089
        BEGIN
5090
                IF (aclr = '1') THEN input_is_nan_dffe4 <= '0';
5091
                ELSIF (clock = '1' AND clock'event) THEN
5092
                        IF (clk_en = '1') THEN input_is_nan_dffe4 <= input_is_nan_dffe4_wi;
5093
                        END IF;
5094
                END IF;
5095
        END PROCESS;
5096
        PROCESS (clock, aclr)
5097
        BEGIN
5098
                IF (aclr = '1') THEN input_is_nan_dffe41 <= '0';
5099
                ELSIF (clock = '1' AND clock'event) THEN
5100
                        IF (clk_en = '1') THEN input_is_nan_dffe41 <= input_is_nan_dffe41_wi;
5101
                        END IF;
5102
                END IF;
5103
        END PROCESS;
5104
        PROCESS (clock, aclr)
5105
        BEGIN
5106
                IF (aclr = '1') THEN man_add_sub_res_mag_dffe21 <= (OTHERS => '0');
5107
                ELSIF (clock = '1' AND clock'event) THEN
5108
                        IF (clk_en = '1') THEN man_add_sub_res_mag_dffe21 <= man_add_sub_res_mag_dffe21_wi;
5109
                        END IF;
5110
                END IF;
5111
        END PROCESS;
5112
        PROCESS (clock, aclr)
5113
        BEGIN
5114
                IF (aclr = '1') THEN man_add_sub_res_mag_dffe23 <= (OTHERS => '0');
5115
                ELSIF (clock = '1' AND clock'event) THEN
5116
                        IF (clk_en = '1') THEN man_add_sub_res_mag_dffe23 <= man_add_sub_res_mag_dffe23_wi;
5117
                        END IF;
5118
                END IF;
5119
        END PROCESS;
5120
        PROCESS (clock, aclr)
5121
        BEGIN
5122
                IF (aclr = '1') THEN man_add_sub_res_sign_dffe21 <= '0';
5123
                ELSIF (clock = '1' AND clock'event) THEN
5124
                        IF (clk_en = '1') THEN man_add_sub_res_sign_dffe21 <= man_add_sub_res_sign_dffe27_wo;
5125
                        END IF;
5126
                END IF;
5127
        END PROCESS;
5128
        PROCESS (clock, aclr)
5129
        BEGIN
5130
                IF (aclr = '1') THEN man_add_sub_res_sign_dffe23 <= '0';
5131
                ELSIF (clock = '1' AND clock'event) THEN
5132
                        IF (clk_en = '1') THEN man_add_sub_res_sign_dffe23 <= man_add_sub_res_sign_dffe23_wi;
5133
                        END IF;
5134
                END IF;
5135
        END PROCESS;
5136
        PROCESS (clock, aclr)
5137
        BEGIN
5138
                IF (aclr = '1') THEN man_dffe31 <= (OTHERS => '0');
5139
                ELSIF (clock = '1' AND clock'event) THEN
5140
                        IF (clk_en = '1') THEN man_dffe31 <= man_add_sub_res_mag_dffe26_wo;
5141
                        END IF;
5142
                END IF;
5143
        END PROCESS;
5144
        PROCESS (clock, aclr)
5145
        BEGIN
5146
                IF (aclr = '1') THEN man_leading_zeros_dffe31 <= (OTHERS => '0');
5147
                ELSIF (clock = '1' AND clock'event) THEN
5148
                        IF (clk_en = '1') THEN man_leading_zeros_dffe31 <= man_leading_zeros_dffe31_wi;
5149
                        END IF;
5150
                END IF;
5151
        END PROCESS;
5152
        PROCESS (clock, aclr)
5153
        BEGIN
5154
                IF (aclr = '1') THEN man_out_dffe5 <= (OTHERS => '0');
5155
                ELSIF (clock = '1' AND clock'event) THEN
5156
                        IF (clk_en = '1') THEN man_out_dffe5 <= man_out_dffe5_wi;
5157
                        END IF;
5158
                END IF;
5159
        END PROCESS;
5160
        PROCESS (clock, aclr)
5161
        BEGIN
5162
                IF (aclr = '1') THEN man_res_dffe4 <= (OTHERS => '0');
5163
                ELSIF (clock = '1' AND clock'event) THEN
5164
                        IF (clk_en = '1') THEN man_res_dffe4 <= man_res_dffe4_wi;
5165
                        END IF;
5166
                END IF;
5167
        END PROCESS;
5168
        PROCESS (clock, aclr)
5169
        BEGIN
5170
                IF (aclr = '1') THEN man_res_is_not_zero_dffe3 <= '0';
5171
                ELSIF (clock = '1' AND clock'event) THEN
5172
                        IF (clk_en = '1') THEN man_res_is_not_zero_dffe3 <= man_res_is_not_zero_dffe3_wi;
5173
                        END IF;
5174
                END IF;
5175
        END PROCESS;
5176
        PROCESS (clock, aclr)
5177
        BEGIN
5178
                IF (aclr = '1') THEN man_res_is_not_zero_dffe31 <= '0';
5179
                ELSIF (clock = '1' AND clock'event) THEN
5180
                        IF (clk_en = '1') THEN man_res_is_not_zero_dffe31 <= man_res_is_not_zero_dffe31_wi;
5181
                        END IF;
5182
                END IF;
5183
        END PROCESS;
5184
        PROCESS (clock, aclr)
5185
        BEGIN
5186
                IF (aclr = '1') THEN man_res_is_not_zero_dffe4 <= '0';
5187
                ELSIF (clock = '1' AND clock'event) THEN
5188
                        IF (clk_en = '1') THEN man_res_is_not_zero_dffe4 <= man_res_is_not_zero_dffe4_wi;
5189
                        END IF;
5190
                END IF;
5191
        END PROCESS;
5192
        PROCESS (clock, aclr)
5193
        BEGIN
5194
                IF (aclr = '1') THEN man_res_is_not_zero_dffe41 <= '0';
5195
                ELSIF (clock = '1' AND clock'event) THEN
5196
                        IF (clk_en = '1') THEN man_res_is_not_zero_dffe41 <= man_res_is_not_zero_dffe41_wi;
5197
                        END IF;
5198
                END IF;
5199
        END PROCESS;
5200
        PROCESS (clock, aclr)
5201
        BEGIN
5202
                IF (aclr = '1') THEN man_res_not_zero_dffe23 <= '0';
5203
                ELSIF (clock = '1' AND clock'event) THEN
5204
                        IF (clk_en = '1') THEN man_res_not_zero_dffe23 <= man_res_not_zero_dffe23_wi;
5205
                        END IF;
5206
                END IF;
5207
        END PROCESS;
5208
        PROCESS (clock, aclr)
5209
        BEGIN
5210
                IF (aclr = '1') THEN man_res_rounding_add_sub_result_reg <= (OTHERS => '0');
5211
                ELSIF (clock = '1' AND clock'event) THEN
5212
                        IF (clk_en = '1') THEN man_res_rounding_add_sub_result_reg <= ( wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w & wire_man_res_rounding_add_sub_lower_result);
5213
                        END IF;
5214
                END IF;
5215
        END PROCESS;
5216
        PROCESS (clock, aclr)
5217
        BEGIN
5218
                IF (aclr = '1') THEN man_smaller_dffe13 <= (OTHERS => '0');
5219
                ELSIF (clock = '1' AND clock'event) THEN
5220
                        IF (clk_en = '1') THEN man_smaller_dffe13 <= man_smaller_dffe13_wi;
5221
                        END IF;
5222
                END IF;
5223
        END PROCESS;
5224
        PROCESS (clock, aclr)
5225
        BEGIN
5226
                IF (aclr = '1') THEN nan_flag_dffe5 <= '0';
5227
                ELSIF (clock = '1' AND clock'event) THEN
5228
                        IF (clk_en = '1') THEN nan_flag_dffe5 <= nan_flag_dffe5_wi;
5229
                        END IF;
5230
                END IF;
5231
        END PROCESS;
5232
        PROCESS (clock, aclr)
5233
        BEGIN
5234
                IF (aclr = '1') THEN need_complement_dffe2 <= '0';
5235
                ELSIF (clock = '1' AND clock'event) THEN
5236
                        IF (clk_en = '1') THEN need_complement_dffe2 <= need_complement_dffe2_wi;
5237
                        END IF;
5238
                END IF;
5239
        END PROCESS;
5240
        PROCESS (clock, aclr)
5241
        BEGIN
5242
                IF (aclr = '1') THEN overflow_flag_dffe5 <= '0';
5243
                ELSIF (clock = '1' AND clock'event) THEN
5244
                        IF (clk_en = '1') THEN overflow_flag_dffe5 <= overflow_flag_dffe5_wi;
5245
                        END IF;
5246
                END IF;
5247
        END PROCESS;
5248
        PROCESS (clock, aclr)
5249
        BEGIN
5250
                IF (aclr = '1') THEN round_bit_dffe21 <= '0';
5251
                ELSIF (clock = '1' AND clock'event) THEN
5252
                        IF (clk_en = '1') THEN round_bit_dffe21 <= round_bit_dffe21_wi;
5253
                        END IF;
5254
                END IF;
5255
        END PROCESS;
5256
        PROCESS (clock, aclr)
5257
        BEGIN
5258
                IF (aclr = '1') THEN round_bit_dffe23 <= '0';
5259
                ELSIF (clock = '1' AND clock'event) THEN
5260
                        IF (clk_en = '1') THEN round_bit_dffe23 <= round_bit_dffe23_wi;
5261
                        END IF;
5262
                END IF;
5263
        END PROCESS;
5264
        PROCESS (clock, aclr)
5265
        BEGIN
5266
                IF (aclr = '1') THEN round_bit_dffe3 <= '0';
5267
                ELSIF (clock = '1' AND clock'event) THEN
5268
                        IF (clk_en = '1') THEN round_bit_dffe3 <= round_bit_dffe3_wi;
5269
                        END IF;
5270
                END IF;
5271
        END PROCESS;
5272
        PROCESS (clock, aclr)
5273
        BEGIN
5274
                IF (aclr = '1') THEN round_bit_dffe31 <= '0';
5275
                ELSIF (clock = '1' AND clock'event) THEN
5276
                        IF (clk_en = '1') THEN round_bit_dffe31 <= round_bit_dffe31_wi;
5277
                        END IF;
5278
                END IF;
5279
        END PROCESS;
5280
        PROCESS (clock, aclr)
5281
        BEGIN
5282
                IF (aclr = '1') THEN rounded_res_infinity_dffe4 <= '0';
5283
                ELSIF (clock = '1' AND clock'event) THEN
5284
                        IF (clk_en = '1') THEN rounded_res_infinity_dffe4 <= rounded_res_infinity_dffe4_wi;
5285
                        END IF;
5286
                END IF;
5287
        END PROCESS;
5288
        PROCESS (clock, aclr)
5289
        BEGIN
5290
                IF (aclr = '1') THEN rshift_distance_dffe13 <= (OTHERS => '0');
5291
                ELSIF (clock = '1' AND clock'event) THEN
5292
                        IF (clk_en = '1') THEN rshift_distance_dffe13 <= rshift_distance_dffe13_wi;
5293
                        END IF;
5294
                END IF;
5295
        END PROCESS;
5296
        PROCESS (clock, aclr)
5297
        BEGIN
5298
                IF (aclr = '1') THEN rshift_distance_dffe14 <= (OTHERS => '0');
5299
                ELSIF (clock = '1' AND clock'event) THEN
5300
                        IF (clk_en = '1') THEN rshift_distance_dffe14 <= rshift_distance_dffe14_wi;
5301
                        END IF;
5302
                END IF;
5303
        END PROCESS;
5304
        PROCESS (clock, aclr)
5305
        BEGIN
5306
                IF (aclr = '1') THEN sign_dffe31 <= '0';
5307
                ELSIF (clock = '1' AND clock'event) THEN
5308
                        IF (clk_en = '1') THEN sign_dffe31 <= sign_dffe31_wi;
5309
                        END IF;
5310
                END IF;
5311
        END PROCESS;
5312
        PROCESS (clock, aclr)
5313
        BEGIN
5314
                IF (aclr = '1') THEN sign_out_dffe5 <= '0';
5315
                ELSIF (clock = '1' AND clock'event) THEN
5316
                        IF (clk_en = '1') THEN sign_out_dffe5 <= sign_out_dffe5_wi;
5317
                        END IF;
5318
                END IF;
5319
        END PROCESS;
5320
        PROCESS (clock, aclr)
5321
        BEGIN
5322
                IF (aclr = '1') THEN sign_res_dffe3 <= '0';
5323
                ELSIF (clock = '1' AND clock'event) THEN
5324
                        IF (clk_en = '1') THEN sign_res_dffe3 <= sign_res_dffe3_wi;
5325
                        END IF;
5326
                END IF;
5327
        END PROCESS;
5328
        PROCESS (clock, aclr)
5329
        BEGIN
5330
                IF (aclr = '1') THEN sign_res_dffe4 <= '0';
5331
                ELSIF (clock = '1' AND clock'event) THEN
5332
                        IF (clk_en = '1') THEN sign_res_dffe4 <= sign_res_dffe4_wi;
5333
                        END IF;
5334
                END IF;
5335
        END PROCESS;
5336
        PROCESS (clock, aclr)
5337
        BEGIN
5338
                IF (aclr = '1') THEN sign_res_dffe41 <= '0';
5339
                ELSIF (clock = '1' AND clock'event) THEN
5340
                        IF (clk_en = '1') THEN sign_res_dffe41 <= sign_res_dffe41_wi;
5341
                        END IF;
5342
                END IF;
5343
        END PROCESS;
5344
        PROCESS (clock, aclr)
5345
        BEGIN
5346
                IF (aclr = '1') THEN sticky_bit_dffe1 <= '0';
5347
                ELSIF (clock = '1' AND clock'event) THEN
5348
                        IF (clk_en = '1') THEN sticky_bit_dffe1 <= sticky_bit_dffe1_wi;
5349
                        END IF;
5350
                END IF;
5351
        END PROCESS;
5352
        PROCESS (clock, aclr)
5353
        BEGIN
5354
                IF (aclr = '1') THEN sticky_bit_dffe2 <= '0';
5355
                ELSIF (clock = '1' AND clock'event) THEN
5356
                        IF (clk_en = '1') THEN sticky_bit_dffe2 <= sticky_bit_dffe2_wi;
5357
                        END IF;
5358
                END IF;
5359
        END PROCESS;
5360
        PROCESS (clock, aclr)
5361
        BEGIN
5362
                IF (aclr = '1') THEN sticky_bit_dffe21 <= '0';
5363
                ELSIF (clock = '1' AND clock'event) THEN
5364
                        IF (clk_en = '1') THEN sticky_bit_dffe21 <= sticky_bit_dffe21_wi;
5365
                        END IF;
5366
                END IF;
5367
        END PROCESS;
5368
        PROCESS (clock, aclr)
5369
        BEGIN
5370
                IF (aclr = '1') THEN sticky_bit_dffe23 <= '0';
5371
                ELSIF (clock = '1' AND clock'event) THEN
5372
                        IF (clk_en = '1') THEN sticky_bit_dffe23 <= sticky_bit_dffe23_wi;
5373
                        END IF;
5374
                END IF;
5375
        END PROCESS;
5376
        PROCESS (clock, aclr)
5377
        BEGIN
5378
                IF (aclr = '1') THEN sticky_bit_dffe3 <= '0';
5379
                ELSIF (clock = '1' AND clock'event) THEN
5380
                        IF (clk_en = '1') THEN sticky_bit_dffe3 <= sticky_bit_dffe3_wi;
5381
                        END IF;
5382
                END IF;
5383
        END PROCESS;
5384
        PROCESS (clock, aclr)
5385
        BEGIN
5386
                IF (aclr = '1') THEN sticky_bit_dffe31 <= '0';
5387
                ELSIF (clock = '1' AND clock'event) THEN
5388
                        IF (clk_en = '1') THEN sticky_bit_dffe31 <= sticky_bit_dffe31_wi;
5389
                        END IF;
5390
                END IF;
5391
        END PROCESS;
5392
        PROCESS (clock, aclr)
5393
        BEGIN
5394
                IF (aclr = '1') THEN underflow_flag_dffe5 <= '0';
5395
                ELSIF (clock = '1' AND clock'event) THEN
5396
                        IF (clk_en = '1') THEN underflow_flag_dffe5 <= underflow_flag_dffe5_wi;
5397
                        END IF;
5398
                END IF;
5399
        END PROCESS;
5400
        PROCESS (clock, aclr)
5401
        BEGIN
5402
                IF (aclr = '1') THEN zero_flag_n_dffe5 <= '0';
5403
                ELSIF (clock = '1' AND clock'event) THEN
5404
                        IF (clk_en = '1') THEN zero_flag_n_dffe5 <= zero_flag_n_dffe5_wi;
5405
                        END IF;
5406
                END IF;
5407
        END PROCESS;
5408
        PROCESS (clock, aclr)
5409
        BEGIN
5410
                IF (aclr = '1') THEN zero_man_sign_dffe2 <= '0';
5411
                ELSIF (clock = '1' AND clock'event) THEN
5412
                        IF (clk_en = '1') THEN zero_man_sign_dffe2 <= zero_man_sign_dffe2_wi;
5413
                        END IF;
5414
                END IF;
5415
        END PROCESS;
5416
        PROCESS (clock, aclr)
5417
        BEGIN
5418
                IF (aclr = '1') THEN zero_man_sign_dffe21 <= '0';
5419
                ELSIF (clock = '1' AND clock'event) THEN
5420
                        IF (clk_en = '1') THEN zero_man_sign_dffe21 <= zero_man_sign_dffe21_wi;
5421
                        END IF;
5422
                END IF;
5423
        END PROCESS;
5424
        PROCESS (clock, aclr)
5425
        BEGIN
5426
                IF (aclr = '1') THEN zero_man_sign_dffe23 <= '0';
5427
                ELSIF (clock = '1' AND clock'event) THEN
5428
                        IF (clk_en = '1') THEN zero_man_sign_dffe23 <= zero_man_sign_dffe23_wi;
5429
                        END IF;
5430
                END IF;
5431
        END PROCESS;
5432
        add_sub1 :  lpm_add_sub
5433
          GENERIC MAP (
5434
                LPM_DIRECTION => "SUB",
5435
                LPM_PIPELINE => 1,
5436
                LPM_REPRESENTATION => "SIGNED",
5437
                LPM_WIDTH => 9
5438
          )
5439
          PORT MAP (
5440
                aclr => aclr,
5441
                clken => clk_en,
5442
                clock => clock,
5443
                dataa => aligned_dataa_exp_w,
5444
                datab => aligned_datab_exp_w,
5445
                result => wire_add_sub1_result
5446
          );
5447
        add_sub2 :  lpm_add_sub
5448
          GENERIC MAP (
5449
                LPM_DIRECTION => "SUB",
5450
                LPM_PIPELINE => 1,
5451
                LPM_REPRESENTATION => "SIGNED",
5452
                LPM_WIDTH => 9
5453
          )
5454
          PORT MAP (
5455
                aclr => aclr,
5456
                clken => clk_en,
5457
                clock => clock,
5458
                dataa => aligned_datab_exp_w,
5459
                datab => aligned_dataa_exp_w,
5460
                result => wire_add_sub2_result
5461
          );
5462
        add_sub3 :  lpm_add_sub
5463
          GENERIC MAP (
5464
                LPM_DIRECTION => "SUB",
5465
                LPM_REPRESENTATION => "SIGNED",
5466
                LPM_WIDTH => 6
5467
          )
5468
          PORT MAP (
5469
                dataa => sticky_bit_cnt_dataa_w,
5470
                datab => sticky_bit_cnt_datab_w,
5471
                result => wire_add_sub3_result
5472
          );
5473
        add_sub4 :  lpm_add_sub
5474
          GENERIC MAP (
5475
                LPM_DIRECTION => "ADD",
5476
                LPM_REPRESENTATION => "SIGNED",
5477
                LPM_WIDTH => 9
5478
          )
5479
          PORT MAP (
5480
                dataa => exp_adjustment_add_sub_dataa_w,
5481
                datab => exp_adjustment_add_sub_datab_w,
5482
                result => wire_add_sub4_result
5483
          );
5484
        add_sub5 :  lpm_add_sub
5485
          GENERIC MAP (
5486
                LPM_DIRECTION => "ADD",
5487
                LPM_PIPELINE => 1,
5488
                LPM_REPRESENTATION => "SIGNED",
5489
                LPM_WIDTH => 9
5490
          )
5491
          PORT MAP (
5492
                aclr => aclr,
5493
                clken => clk_en,
5494
                clock => clock,
5495
                dataa => exp_adjustment2_add_sub_dataa_w,
5496
                datab => exp_adjustment2_add_sub_datab_w,
5497
                result => wire_add_sub5_result
5498
          );
5499
        add_sub6 :  lpm_add_sub
5500
          GENERIC MAP (
5501
                LPM_DIRECTION => "ADD",
5502
                LPM_REPRESENTATION => "SIGNED",
5503
                LPM_WIDTH => 9
5504
          )
5505
          PORT MAP (
5506
                dataa => exp_res_rounding_adder_dataa_w,
5507
                datab => exp_rounding_adjustment_w,
5508
                result => wire_add_sub6_result
5509
          );
5510
        loop122 : FOR i IN 0 TO 13 GENERATE
5511
                wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w(i) <= wire_man_2comp_res_lower_w_lg_cout367w(0) AND wire_man_2comp_res_upper0_result(i);
5512
        END GENERATE loop122;
5513
        loop123 : FOR i IN 0 TO 13 GENERATE
5514
                wire_man_2comp_res_lower_w_lg_cout366w(i) <= wire_man_2comp_res_lower_cout AND wire_man_2comp_res_upper1_result(i);
5515
        END GENERATE loop123;
5516
        wire_man_2comp_res_lower_w_lg_cout367w(0) <= NOT wire_man_2comp_res_lower_cout;
5517
        loop124 : FOR i IN 0 TO 13 GENERATE
5518
                wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w(i) <= wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w(i) OR wire_man_2comp_res_lower_w_lg_cout366w(i);
5519
        END GENERATE loop124;
5520
        man_2comp_res_lower :  lpm_add_sub
5521
          GENERIC MAP (
5522
                LPM_PIPELINE => 1,
5523
                LPM_REPRESENTATION => "SIGNED",
5524
                LPM_WIDTH => 14
5525
          )
5526
          PORT MAP (
5527
                aclr => aclr,
5528
                add_sub => add_sub_w2,
5529
                cin => borrow_w,
5530
                clken => clk_en,
5531
                clock => clock,
5532
                cout => wire_man_2comp_res_lower_cout,
5533
                dataa => man_2comp_res_dataa_w(13 DOWNTO 0),
5534
                datab => man_2comp_res_datab_w(13 DOWNTO 0),
5535
                result => wire_man_2comp_res_lower_result
5536
          );
5537
        man_2comp_res_upper0 :  lpm_add_sub
5538
          GENERIC MAP (
5539
                LPM_PIPELINE => 1,
5540
                LPM_REPRESENTATION => "SIGNED",
5541
                LPM_WIDTH => 14
5542
          )
5543
          PORT MAP (
5544
                aclr => aclr,
5545
                add_sub => add_sub_w2,
5546
                cin => wire_gnd,
5547
                clken => clk_en,
5548
                clock => clock,
5549
                dataa => man_2comp_res_dataa_w(27 DOWNTO 14),
5550
                datab => man_2comp_res_datab_w(27 DOWNTO 14),
5551
                result => wire_man_2comp_res_upper0_result
5552
          );
5553
        man_2comp_res_upper1 :  lpm_add_sub
5554
          GENERIC MAP (
5555
                LPM_PIPELINE => 1,
5556
                LPM_REPRESENTATION => "SIGNED",
5557
                LPM_WIDTH => 14
5558
          )
5559
          PORT MAP (
5560
                aclr => aclr,
5561
                add_sub => add_sub_w2,
5562
                cin => wire_vcc,
5563
                clken => clk_en,
5564
                clock => clock,
5565
                dataa => man_2comp_res_dataa_w(27 DOWNTO 14),
5566
                datab => man_2comp_res_datab_w(27 DOWNTO 14),
5567
                result => wire_man_2comp_res_upper1_result
5568
          );
5569
        loop125 : FOR i IN 0 TO 13 GENERATE
5570
                wire_man_add_sub_lower_w_lg_w_lg_cout354w355w(i) <= wire_man_add_sub_lower_w_lg_cout354w(0) AND wire_man_add_sub_upper0_result(i);
5571
        END GENERATE loop125;
5572
        loop126 : FOR i IN 0 TO 13 GENERATE
5573
                wire_man_add_sub_lower_w_lg_cout353w(i) <= wire_man_add_sub_lower_cout AND wire_man_add_sub_upper1_result(i);
5574
        END GENERATE loop126;
5575
        wire_man_add_sub_lower_w_lg_cout354w(0) <= NOT wire_man_add_sub_lower_cout;
5576
        loop127 : FOR i IN 0 TO 13 GENERATE
5577
                wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w(i) <= wire_man_add_sub_lower_w_lg_w_lg_cout354w355w(i) OR wire_man_add_sub_lower_w_lg_cout353w(i);
5578
        END GENERATE loop127;
5579
        man_add_sub_lower :  lpm_add_sub
5580
          GENERIC MAP (
5581
                LPM_PIPELINE => 1,
5582
                LPM_REPRESENTATION => "SIGNED",
5583
                LPM_WIDTH => 14
5584
          )
5585
          PORT MAP (
5586
                aclr => aclr,
5587
                add_sub => add_sub_w2,
5588
                cin => borrow_w,
5589
                clken => clk_en,
5590
                clock => clock,
5591
                cout => wire_man_add_sub_lower_cout,
5592
                dataa => man_add_sub_dataa_w(13 DOWNTO 0),
5593
                datab => man_add_sub_datab_w(13 DOWNTO 0),
5594
                result => wire_man_add_sub_lower_result
5595
          );
5596
        man_add_sub_upper0 :  lpm_add_sub
5597
          GENERIC MAP (
5598
                LPM_PIPELINE => 1,
5599
                LPM_REPRESENTATION => "SIGNED",
5600
                LPM_WIDTH => 14
5601
          )
5602
          PORT MAP (
5603
                aclr => aclr,
5604
                add_sub => add_sub_w2,
5605
                cin => wire_gnd,
5606
                clken => clk_en,
5607
                clock => clock,
5608
                dataa => man_add_sub_dataa_w(27 DOWNTO 14),
5609
                datab => man_add_sub_datab_w(27 DOWNTO 14),
5610
                result => wire_man_add_sub_upper0_result
5611
          );
5612
        man_add_sub_upper1 :  lpm_add_sub
5613
          GENERIC MAP (
5614
                LPM_PIPELINE => 1,
5615
                LPM_REPRESENTATION => "SIGNED",
5616
                LPM_WIDTH => 14
5617
          )
5618
          PORT MAP (
5619
                aclr => aclr,
5620
                add_sub => add_sub_w2,
5621
                cin => wire_vcc,
5622
                clken => clk_en,
5623
                clock => clock,
5624
                dataa => man_add_sub_dataa_w(27 DOWNTO 14),
5625
                datab => man_add_sub_datab_w(27 DOWNTO 14),
5626
                result => wire_man_add_sub_upper1_result
5627
          );
5628
        loop128 : FOR i IN 0 TO 12 GENERATE
5629
                wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w(i) <= wire_man_res_rounding_add_sub_lower_w_lg_cout580w(0) AND adder_upper_w(i);
5630
        END GENERATE loop128;
5631
        loop129 : FOR i IN 0 TO 12 GENERATE
5632
                wire_man_res_rounding_add_sub_lower_w_lg_cout579w(i) <= wire_man_res_rounding_add_sub_lower_cout AND wire_man_res_rounding_add_sub_upper1_result(i);
5633
        END GENERATE loop129;
5634
        wire_man_res_rounding_add_sub_lower_w_lg_cout580w(0) <= NOT wire_man_res_rounding_add_sub_lower_cout;
5635
        loop130 : FOR i IN 0 TO 12 GENERATE
5636
                wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w(i) <= wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w(i) OR wire_man_res_rounding_add_sub_lower_w_lg_cout579w(i);
5637
        END GENERATE loop130;
5638
        man_res_rounding_add_sub_lower :  lpm_add_sub
5639
          GENERIC MAP (
5640
                LPM_DIRECTION => "ADD",
5641
                LPM_REPRESENTATION => "SIGNED",
5642
                LPM_WIDTH => 13
5643
          )
5644
          PORT MAP (
5645
                cout => wire_man_res_rounding_add_sub_lower_cout,
5646
                dataa => man_intermediate_res_w(12 DOWNTO 0),
5647
                datab => man_res_rounding_add_sub_datab_w(12 DOWNTO 0),
5648
                result => wire_man_res_rounding_add_sub_lower_result
5649
          );
5650
        man_res_rounding_add_sub_upper1 :  lpm_add_sub
5651
          GENERIC MAP (
5652
                LPM_DIRECTION => "ADD",
5653
                LPM_REPRESENTATION => "SIGNED",
5654
                LPM_WIDTH => 13
5655
          )
5656
          PORT MAP (
5657
                cin => wire_vcc,
5658
                dataa => man_intermediate_res_w(25 DOWNTO 13),
5659
                datab => man_res_rounding_add_sub_datab_w(25 DOWNTO 13),
5660
                result => wire_man_res_rounding_add_sub_upper1_result
5661
          );
5662
        trailing_zeros_limit_comparator :  lpm_compare
5663
          GENERIC MAP (
5664
                LPM_REPRESENTATION => "SIGNED",
5665
                LPM_WIDTH => 6
5666
          )
5667
          PORT MAP (
5668
                agb => wire_trailing_zeros_limit_comparator_agb,
5669
                dataa => sticky_bit_cnt_res_w,
5670
                datab => trailing_zeros_limit_w
5671
          );
5672
 
5673
 END RTL; --CI_ALTFP_ADD_SUB_altfp_add_sub_4km
5674
--VALID FILE
5675
 
5676
 
5677
LIBRARY ieee;
5678
USE ieee.std_logic_1164.all;
5679
 
5680
ENTITY CI_ALTFP_ADD_SUB IS
5681
        PORT
5682
        (
5683
                aclr            : IN STD_LOGIC ;
5684
                clk_en          : IN STD_LOGIC ;
5685
                clock           : IN STD_LOGIC ;
5686
                dataa           : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
5687
                datab           : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
5688
                nan             : OUT STD_LOGIC ;
5689
                overflow                : OUT STD_LOGIC ;
5690
                result          : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
5691
                underflow               : OUT STD_LOGIC ;
5692
                zero            : OUT STD_LOGIC
5693
        );
5694
END CI_ALTFP_ADD_SUB;
5695
 
5696
 
5697
ARCHITECTURE RTL OF ci_altfp_add_sub IS
5698
 
5699
        SIGNAL sub_wire0        : STD_LOGIC ;
5700
        SIGNAL sub_wire1        : STD_LOGIC ;
5701
        SIGNAL sub_wire2        : STD_LOGIC ;
5702
        SIGNAL sub_wire3        : STD_LOGIC ;
5703
        SIGNAL sub_wire4        : STD_LOGIC_VECTOR (31 DOWNTO 0);
5704
 
5705
 
5706
 
5707
        COMPONENT CI_ALTFP_ADD_SUB_altfp_add_sub_4km
5708
        PORT (
5709
                        dataa   : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
5710
                        datab   : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
5711
                        overflow        : OUT STD_LOGIC ;
5712
                        underflow       : OUT STD_LOGIC ;
5713
                        nan     : OUT STD_LOGIC ;
5714
                        clk_en  : IN STD_LOGIC ;
5715
                        clock   : IN STD_LOGIC ;
5716
                        aclr    : IN STD_LOGIC ;
5717
                        zero    : OUT STD_LOGIC ;
5718
                        result  : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
5719
        );
5720
        END COMPONENT;
5721
 
5722
BEGIN
5723
        overflow    <= sub_wire0;
5724
        underflow    <= sub_wire1;
5725
        nan    <= sub_wire2;
5726
        zero    <= sub_wire3;
5727
        result    <= sub_wire4(31 DOWNTO 0);
5728
 
5729
        CI_ALTFP_ADD_SUB_altfp_add_sub_4km_component : CI_ALTFP_ADD_SUB_altfp_add_sub_4km
5730
        PORT MAP (
5731
                dataa => dataa,
5732
                datab => datab,
5733
                clk_en => clk_en,
5734
                clock => clock,
5735
                aclr => aclr,
5736
                overflow => sub_wire0,
5737
                underflow => sub_wire1,
5738
                nan => sub_wire2,
5739
                zero => sub_wire3,
5740
                result => sub_wire4
5741
        );
5742
 
5743
 
5744
 
5745
END RTL;
5746
 
5747
-- ============================================================
5748
-- CNX file retrieval info
5749
-- ============================================================
5750
-- Retrieval info: PRIVATE: FPM_FORMAT NUMERIC "0"
5751
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix"
5752
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "1"
5753
-- Retrieval info: PRIVATE: WIDTH_DATA NUMERIC "32"
5754
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
5755
-- Retrieval info: CONSTANT: DENORMAL_SUPPORT STRING "NO"
5756
-- Retrieval info: CONSTANT: DIRECTION STRING "ADD"
5757
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix"
5758
-- Retrieval info: CONSTANT: OPTIMIZE STRING "SPEED"
5759
-- Retrieval info: CONSTANT: PIPELINE NUMERIC "12"
5760
-- Retrieval info: CONSTANT: REDUCED_FUNCTIONALITY STRING "NO"
5761
-- Retrieval info: CONSTANT: WIDTH_EXP NUMERIC "8"
5762
-- Retrieval info: CONSTANT: WIDTH_MAN NUMERIC "23"
5763
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL "aclr"
5764
-- Retrieval info: USED_PORT: clk_en 0 0 0 0 INPUT NODEFVAL "clk_en"
5765
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
5766
-- Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]"
5767
-- Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]"
5768
-- Retrieval info: USED_PORT: nan 0 0 0 0 OUTPUT NODEFVAL "nan"
5769
-- Retrieval info: USED_PORT: overflow 0 0 0 0 OUTPUT NODEFVAL "overflow"
5770
-- Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL "result[31..0]"
5771
-- Retrieval info: USED_PORT: underflow 0 0 0 0 OUTPUT NODEFVAL "underflow"
5772
-- Retrieval info: USED_PORT: zero 0 0 0 0 OUTPUT NODEFVAL "zero"
5773
-- Retrieval info: CONNECT: zero 0 0 0 0 @zero 0 0 0 0
5774
-- Retrieval info: CONNECT: @clk_en 0 0 0 0 clk_en 0 0 0 0
5775
-- Retrieval info: CONNECT: nan 0 0 0 0 @nan 0 0 0 0
5776
-- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
5777
-- Retrieval info: CONNECT: underflow 0 0 0 0 @underflow 0 0 0 0
5778
-- Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0
5779
-- Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0
5780
-- Retrieval info: CONNECT: overflow 0 0 0 0 @overflow 0 0 0 0
5781
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
5782
-- Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0
5783
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_ADD_SUB.vhd TRUE
5784
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_ADD_SUB.inc FALSE
5785
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_ADD_SUB.cmp TRUE
5786
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_ADD_SUB.bsf TRUE FALSE
5787
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_ADD_SUB_inst.vhd TRUE
5788
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_ADD_SUB_syn.v TRUE
5789
-- Retrieval info: LIB_FILE: lpm

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.