OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [constraints/] [core1990_constraints_vc707.xdc] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 N.Boukadid
###############################################################################
2
## This the constraints file for the Core1990 Interlaken project
3
##
4
## Family       - virtex7
5
## Part         - xc7vx485t
6
## Package      - ffg1761
7
## Speed grade  - -2
8
## Transceiver  - X1Y2 (GTX)
9
##
10
###############################################################################
11
## Physical Constraints (geographical constraints)
12
###############################################################################
13
 
14
## Pin locations of the transceiver and system clock
15
 
16
set_property PACKAGE_PIN AK34 [get_ports USER_CLK_IN_P]
17
set_property IOSTANDARD LVDS [get_ports USER_CLK_IN_P]
18
 
19
set_property PACKAGE_PIN AJ32 [get_ports USER_SMA_CLK_OUT_P]
20
set_property IOSTANDARD LVDS [get_ports USER_SMA_CLK_OUT_P]
21
 
22
 
23
set_property PACKAGE_PIN AK8 [get_ports GTREFCLK_IN_P]
24
set_property PACKAGE_PIN E19 [get_ports System_Clock_In_P]
25
set_property IOSTANDARD LVDS [get_ports System_Clock_In_P]
26
 
27
set_property PACKAGE_PIN AL6 [get_ports RX_In_P]
28
set_property PACKAGE_PIN AM4 [get_ports TX_Out_P]
29
 
30
## Pin locations and configuration of the status leds
31
set_property PACKAGE_PIN AM39 [get_ports Valid_out]
32
set_property IOSTANDARD LVCMOS18 [get_ports Valid_out]
33
 
34
set_property PACKAGE_PIN AN39 [get_ports Lock_Out]
35
set_property IOSTANDARD LVCMOS18 [get_ports Lock_Out]
36
 
37
###############################################################################
38
## Timing constraints
39
###############################################################################
40
 
41
## Clocks and their speed
42
create_clock -period 8.000 -name tc_GTREFCLK_IN_P [get_ports GTREFCLK_IN_P]
43
 
44
## Clock relations
45
set_max_delay -datapath_only -from [get_clocks clkout0*] -to [get_clocks clk_out1_clk_40MHz*] 25.000
46
set_max_delay -datapath_only -from [get_clocks clk_out1_clk_40MHz*] -to [get_clocks clkout0*] 25.000
47
set_max_delay -datapath_only -from [get_clocks clkout0*] -to [get_clocks clk_out2_clk_40MHz*] 8.333
48
set_max_delay -datapath_only -from [get_clocks clk_out2_clk_40MHz*] -to [get_clocks clkout0*] 8.333
49
 
50
###############################################################################
51
## Resets and False paths
52
###############################################################################
53
 
54
 
55
###############################################################################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.