OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [constraints/] [core1990_constraints_vc709.xdc] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 N.Boukadid
###############################################################################
2
## This the constraints file for the Core1990 Interlaken project
3
##
4
## Family       - virtex7
5
## Part         - xc7vx690t
6
## Package      - ffg1761
7
## Speed grade  - -2
8
## Transceiver  - X1Y2 (GTX)
9
##
10
###############################################################################
11
## Physical Constraints (geographical constraints)
12
###############################################################################
13
 
14
## Pin locations of the transceiver and system clock
15
 
16
#User clock from fixed crystal: 156.25MHz input.
17
set_property PACKAGE_PIN AK34 [get_ports USER_CLK_IN_P]
18
set_property IOSTANDARD LVDS [get_ports USER_CLK_IN_P]
19
 
20
#Output 156.25MHz towards Si5324 clkin 0
21
set_property IOSTANDARD LVDS [get_ports REC_CLOCK_C_P]
22
set_property PACKAGE_PIN AW32 [get_ports REC_CLOCK_C_P]
23
 
24
#200 MHz clock on VC709 board
25
set_property PACKAGE_PIN H19 [get_ports SYSCLK_P]
26
set_property IOSTANDARD LVDS [get_ports SYSCLK_P]
27
 
28
#Transceiver SFP clock
29
set_property PACKAGE_PIN AH8 [get_ports GTREFCLK_IN_P]
30
 
31
#Transceiver optic ports
32
set_property PACKAGE_PIN AN6 [get_ports RX_In_P]
33
set_property PACKAGE_PIN AP4 [get_ports TX_Out_P]
34
 
35
#Transceiver SFP enable
36
set_property PACKAGE_PIN AB41 [get_ports {SFP_TX_DISABLE[0]}]
37
set_property PACKAGE_PIN Y42 [get_ports {SFP_TX_DISABLE[1]}]
38
set_property PACKAGE_PIN AC38 [get_ports {SFP_TX_DISABLE[2]}]
39
set_property PACKAGE_PIN AC40 [get_ports {SFP_TX_DISABLE[3]}]
40
set_property IOSTANDARD LVCMOS18 [get_ports {SFP_TX_DISABLE[0]}]
41
set_property IOSTANDARD LVCMOS18 [get_ports {SFP_TX_DISABLE[1]}]
42
set_property IOSTANDARD LVCMOS18 [get_ports {SFP_TX_DISABLE[2]}]
43
set_property IOSTANDARD LVCMOS18 [get_ports {SFP_TX_DISABLE[3]}]
44
 
45
# SFP Loss Of Signal
46
set_property PACKAGE_PIN Y39 [get_ports {SFP_RX_LOS[0]}]
47
set_property PACKAGE_PIN AA40 [get_ports {SFP_RX_LOS[1]}]
48
set_property PACKAGE_PIN AD38 [get_ports {SFP_RX_LOS[2]}]
49
set_property PACKAGE_PIN AD40 [get_ports {SFP_RX_LOS[3]}]
50
set_property IOSTANDARD LVCMOS18 [get_ports {SFP_RX_LOS[0]}]
51
set_property IOSTANDARD LVCMOS18 [get_ports {SFP_RX_LOS[1]}]
52
set_property IOSTANDARD LVCMOS18 [get_ports {SFP_RX_LOS[2]}]
53
set_property IOSTANDARD LVCMOS18 [get_ports {SFP_RX_LOS[3]}]
54
 
55
### Pin locations and configuration of the status leds
56
#set_property PACKAGE_PIN AM39 [get_ports Valid_out]
57
#set_property IOSTANDARD LVCMOS18 [get_ports Valid_out]
58
 
59
#set_property PACKAGE_PIN AN39 [get_ports Lock_Out]
60
#set_property IOSTANDARD LVCMOS18 [get_ports Lock_Out]
61
 
62
###############################################################################
63
## Timing constraints
64
###############################################################################
65
 
66
## Clocks and their speed
67
create_clock -period 8.000 -name tc_GTREFCLK_IN_P [get_ports GTREFCLK_IN_P]
68
 
69
## Clock relations
70
set_max_delay -datapath_only -from [get_clocks clkout0*] -to [get_clocks clk_out1_clk_40MHz*] 25.000
71
set_max_delay -datapath_only -from [get_clocks clk_out1_clk_40MHz*] -to [get_clocks clkout0*] 25.000
72
set_max_delay -datapath_only -from [get_clocks clkout0*] -to [get_clocks clk_out2_clk_40MHz*] 8.333
73
set_max_delay -datapath_only -from [get_clocks clk_out2_clk_40MHz*] -to [get_clocks clkout0*] 8.333
74
 
75
###############################################################################
76
## Resets and False paths
77
###############################################################################
78
 
79
 
80
###############################################################################
81
 
82
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.