OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [scripts/] [vivado_import_virtex707.tcl] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 N.Boukadid
#
2
#       File import script for the core1990 interlaken hdl project
3
#
4
 
5
#Script Configuration
6
set proj_name core1990_interlaken
7
 
8
# Set the supportfiles directory path
9
set scriptdir [pwd]
10
set proj_dir $scriptdir/../
11
 
12
#Close currently open project and create a new one. (OVERWRITES PROJECT!!)
13
close_project -quiet
14
 
15
create_project -force -part xc7vx485tffg1761-2 $proj_name $proj_dir/projects/$proj_name
16
 
17
set_property target_language VHDL [current_project]
18
set_property default_lib work [current_project]
19
 
20
read_vhdl -library work $proj_dir/sources/interlaken_wrapper_vc707.vhd
21
read_vhdl -library work $proj_dir/sources/interlaken_pkg.vhd
22
 
23
# ----------------------------------------------------------
24
# Core1990 top file
25
# ----------------------------------------------------------
26
read_vhdl -library work $proj_dir/sources/interlaken/interlaken_interface_vc707.vhd
27
 
28
# ----------------------------------------------------------
29
# CRC
30
# ----------------------------------------------------------
31
read_vhdl -library work $proj_dir/sources/interlaken/crc/crc-24.vhd
32
read_vhdl -library work $proj_dir/sources/interlaken/crc/crc-32.vhd
33
 
34
# ----------------------------------------------------------
35
# Transmitter
36
# ----------------------------------------------------------
37
read_vhdl -library work $proj_dir/sources/interlaken/transmitter/framing_burst.vhd
38
read_vhdl -library work $proj_dir/sources/interlaken/transmitter/framing_meta.vhd
39
read_vhdl -library work $proj_dir/sources/interlaken/transmitter/scrambler.vhd
40
read_vhdl -library work $proj_dir/sources/interlaken/transmitter/encoder.vhd
41
read_vhdl -library work $proj_dir/sources/interlaken/transmitter/interlaken_transmitter.vhd
42
 
43
# ----------------------------------------------------------
44
# Receiver
45
# ----------------------------------------------------------
46
read_vhdl -library work $proj_dir/sources/interlaken/receiver/deframing_burst.vhd
47
read_vhdl -library work $proj_dir/sources/interlaken/receiver/deframing_meta.vhd
48
read_vhdl -library work $proj_dir/sources/interlaken/receiver/descrambler.vhd
49
read_vhdl -library work $proj_dir/sources/interlaken/receiver/decoder.vhd
50
read_vhdl -library work $proj_dir/sources/interlaken/receiver/interlaken_receiver.vhd
51
 
52
# ----------------------------------------------------------
53
# Interlaken Tests
54
# ----------------------------------------------------------
55
read_vhdl -library work $proj_dir/sources/interlaken/test/data_generator.vhd
56
read_vhdl -library work $proj_dir/sources/interlaken/test/pipeline.vhd
57
 
58
# ----------------------------------------------------------
59
# IP cores
60
# ----------------------------------------------------------
61
import_ip $proj_dir/sources/ip_cores/vc707/clk_40MHz.xci
62
import_ip $proj_dir/sources/ip_cores/vc707/Transceiver_10g_64b67b.xci
63
import_ip $proj_dir/sources/ip_cores/vc707/RX_FIFO.xci
64
import_ip $proj_dir/sources/ip_cores/vc707/TX_FIFO.xci
65
import_ip $proj_dir/sources/ip_cores/vc707/ila_data.xci
66
import_ip $proj_dir/sources/ip_cores/vc707/vio_0.xci
67
 
68
# ----------------------------------------------------------
69
# finish project initilization
70
# ----------------------------------------------------------
71
upgrade_ip [get_ips {clk_40MHz Transceiver_10g_64b67b RX_FIFO TX_FIFO ila_data vio_0}]
72
 
73
read_xdc -verbose $proj_dir/constraints/core1990_constraints_vc707.xdc
74
 
75
set_property top Interface_Test [current_fileset]
76
 
77
puts "INFO: Done!"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.