OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [sources/] [interlaken_pkg.vhd] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 N.Boukadid
library ieee;
2
use ieee.numeric_std.all;
3
use ieee.std_logic_unsigned.all;
4
use ieee.std_logic_1164.all;
5
 
6
package interlaken_pkg is
7
--
8
-- Interlaken
9
--
10
  -- Bitfields of Interlaken
11
  type bitfield_interlaken_control_status_r_type is record
12
    DECODER_LOCK                   : std_logic_vector(1 downto 1);    -- Decoder lock indication
13
    DESCRAMBLER_LOCK               : std_logic_vector(0 downto 0);    -- Descrambler lock indication
14
  end record;
15
 
16
  type bitfield_transceiver_r_type is record
17
    TX_FAULT                       : std_logic_vector(7 downto 4);    -- SFP transceiver TX fault indication
18
    RX_LOS                         : std_logic_vector(3 downto 0);    -- Loss of signal indication
19
  end record;
20
 
21
 
22
  -- Interlaken
23
  type interlaken_monitor_type is record
24
    INTERLAKEN_CONTROL_STATUS      : bitfield_interlaken_control_status_r_type;
25
    TRANSCEIVER                    : bitfield_transceiver_r_type;
26
  end record;
27
 
28
 
29
end package interlaken_pkg ;
30
 
31
package body interlaken_pkg is
32
 
33
end interlaken_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.