OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [core.vhd] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 fpga_is_fu
-- VHDL Entity r6502_tc.core.symbol
2 24 fpga_is_fu
--
3
-- Created:
4 26 fpga_is_fu
--          by - eda.UNKNOWN (ENTW-7HPZ200)
5
--          at - 09:42:07 11.09.2018
6 24 fpga_is_fu
--
7 26 fpga_is_fu
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
8 24 fpga_is_fu
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13 26 fpga_is_fu
entity core is
14
   port(
15
      clk_clk_i   : in     std_logic;
16
      d_i         : in     std_logic_vector (7 downto 0);
17
      irq_n_i     : in     std_logic;
18
      nmi_n_i     : in     std_logic;
19
      rdy_i       : in     std_logic;
20
      rst_rst_n_i : in     std_logic;
21
      so_n_i      : in     std_logic;
22
      a_o         : out    std_logic_vector (15 downto 0);
23
      d_o         : out    std_logic_vector (7 downto 0);
24
      rd_o        : out    std_logic;
25
      sync_o      : out    std_logic;
26
      wr_n_o      : out    std_logic;
27
      wr_o        : out    std_logic
28 24 fpga_is_fu
   );
29
 
30
-- Declarations
31
 
32 26 fpga_is_fu
end core ;
33 24 fpga_is_fu
 
34 26 fpga_is_fu
-- (C) 2008 - 2018 Jens Gutschmidt
35
-- (email: opencores@vivare-services.com)
36
-- 
37
-- Versions:
38
-- Revision 1.20  2013/07/24 11:11:00  jens
39
-- - Changing the title block and internal revision history
40
-- 
41
-- Revision 1.6  2009/01/04 10:20:47  eda
42
-- Changes for cosmetic issues only
43
-- 
44
-- Revision 1.5  2009/01/04 09:23:10  eda
45
-- - Delete unused nets and blocks
46
-- - Rename blocks
47
-- 
48
-- Revision 1.4  2009/01/03 16:53:02  eda
49
-- - Unused nets and blocks deleted
50
-- - Renamed blocks
51
-- 
52
-- Revision 1.3  2009/01/03 16:42:02  eda
53
-- - Unused nets and blocks deleted
54
-- - Renamed blocks
55
-- 
56
-- Revision 1.2  2008/12/31 19:31:24  eda
57
-- Production Release
58
--  
59
-- 
60 24 fpga_is_fu
--
61 26 fpga_is_fu
-- VHDL Architecture r6502_tc.core.struct
62 24 fpga_is_fu
--
63
-- Created:
64 26 fpga_is_fu
--          by - eda.UNKNOWN (ENTW-7HPZ200)
65
--          at - 11:46:25 11.09.2018
66 24 fpga_is_fu
--
67 26 fpga_is_fu
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
68 24 fpga_is_fu
--
69 26 fpga_is_fu
-- COPYRIGHT (C) 2008 - 2018 by Jens Gutschmidt
70
-- 
71
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
72
-- 
73
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.
74
-- 
75
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.
76
-- 
77
-- 
78 24 fpga_is_fu
LIBRARY ieee;
79
USE ieee.std_logic_1164.all;
80
USE ieee.std_logic_arith.all;
81
 
82 26 fpga_is_fu
library r6502_tc;
83 24 fpga_is_fu
 
84 26 fpga_is_fu
architecture struct of core is
85 24 fpga_is_fu
 
86
   -- Architecture declarations
87
 
88
   -- Internal signal declarations
89 26 fpga_is_fu
   signal adr_nxt_pc_o_i : std_logic_vector(15 downto 0);
90
   signal adr_o_i        : std_logic_vector(15 downto 0);
91
   signal adr_pc_o_i     : std_logic_vector(15 downto 0);
92
   signal adr_sp_o_i     : std_logic_vector(15 downto 0);
93
   signal ch_a_o_i       : std_logic_vector(7 downto 0);
94
   signal ch_b_o_i       : std_logic_vector(7 downto 0);
95
   signal d_alu_n_o_i    : std_logic;
96
   signal d_alu_o_i      : std_logic_vector(7 downto 0);
97
   signal d_alu_or_o_i   : std_logic;
98
   signal d_regs_in_o_i  : std_logic_vector(7 downto 0);
99
   signal d_regs_out_o_i : std_logic_vector(7 downto 0);
100
   signal ld_o_i         : std_logic_vector(1 downto 0);
101
   signal ld_pc_o_i      : std_logic;
102
   signal ld_sp_o_i      : std_logic;
103
   signal load_regs_o_i  : std_logic;
104
   signal nmi_o_i        : std_logic;
105
   signal offset_o_i     : std_logic_vector(15 downto 0);
106
   signal q_a_o_i        : std_logic_vector(7 downto 0);
107
   signal q_x_o_i        : std_logic_vector(7 downto 0);
108
   signal q_y_o_i        : std_logic_vector(7 downto 0);
109
   signal reg_0flag_o_i  : std_logic;
110
   signal reg_1flag_o_i  : std_logic;
111
   signal reg_7flag_o_i  : std_logic;
112
   signal rst_nmi_o_i    : std_logic;
113
   signal sel_pc_in_o_i  : std_logic;
114
   signal sel_pc_val_o_i : std_logic_vector(1 downto 0);
115
   signal sel_rb_in_o_i  : std_logic_vector(1 downto 0);
116
   signal sel_rb_out_o_i : std_logic_vector(1 downto 0);
117
   signal sel_reg_o_i    : std_logic_vector(1 downto 0);
118
   signal sel_sp_as_o_i  : std_logic;
119
   signal sel_sp_in_o_i  : std_logic;
120 24 fpga_is_fu
 
121
 
122
   -- Component Declarations
123 26 fpga_is_fu
   component fsm_execution_unit
124
   port (
125
      adr_nxt_pc_i    : in     std_logic_vector (15 downto 0);
126
      adr_pc_i        : in     std_logic_vector (15 downto 0);
127
      adr_sp_i        : in     std_logic_vector (15 downto 0);
128
      clk_clk_i       : in     std_logic ;
129
      d_alu_i         : in     std_logic_vector ( 7 downto 0 );
130
      d_i             : in     std_logic_vector ( 7 downto 0 );
131
      d_regs_out_i    : in     std_logic_vector ( 7 downto 0 );
132
      irq_n_i         : in     std_logic ;
133
      nmi_i           : in     std_logic ;
134
      q_a_i           : in     std_logic_vector ( 7 downto 0 );
135
      q_x_i           : in     std_logic_vector ( 7 downto 0 );
136
      q_y_i           : in     std_logic_vector ( 7 downto 0 );
137
      rdy_i           : in     std_logic ;
138
      reg_0flag_i     : in     std_logic ;
139
      reg_1flag_i     : in     std_logic ;
140
      reg_7flag_i     : in     std_logic ;
141
      rst_rst_n_i     : in     std_logic ;
142
      so_n_i          : in     std_logic ;
143
      a_o             : out    std_logic_vector (15 downto 0);
144
      adr_o           : out    std_logic_vector (15 downto 0);
145
      ch_a_o          : out    std_logic_vector ( 7 downto 0 );
146
      ch_b_o          : out    std_logic_vector ( 7 downto 0 );
147
      d_o             : out    std_logic_vector ( 7 downto 0 );
148
      d_regs_in_o     : out    std_logic_vector ( 7 downto 0 );
149
      int_fetch_o     : out    std_logic ;
150
      int_reg_2flag_o : out    std_logic ;
151
      ld_o            : out    std_logic_vector ( 1 downto 0 );
152
      ld_pc_o         : out    std_logic ;
153
      ld_sp_o         : out    std_logic ;
154
      load_regs_o     : out    std_logic ;
155
      offset_o        : out    std_logic_vector ( 15 downto 0 );
156
      rd_o            : out    std_logic ;
157
      rst_nmi_o       : out    std_logic ;
158
      sel_pc_in_o     : out    std_logic ;
159
      sel_pc_val_o    : out    std_logic_vector ( 1 downto 0 );
160
      sel_rb_in_o     : out    std_logic_vector ( 1 downto 0 );
161
      sel_rb_out_o    : out    std_logic_vector ( 1 downto 0 );
162
      sel_reg_o       : out    std_logic_vector ( 1 downto 0 );
163
      sel_sp_as_o     : out    std_logic ;
164
      sel_sp_in_o     : out    std_logic ;
165
      sync_o          : out    std_logic ;
166
      wr_n_o          : out    std_logic ;
167
      wr_o            : out    std_logic
168 24 fpga_is_fu
   );
169 26 fpga_is_fu
   end component;
170
   component fsm_intnmi
171
   port (
172
      clk_clk_i   : in     std_logic ;
173
      nmi_n_i     : in     std_logic ;
174
      rst_nmi_i   : in     std_logic ;
175
      rst_rst_n_i : in     std_logic ;
176
      nmi_o       : out    std_logic
177 24 fpga_is_fu
   );
178 26 fpga_is_fu
   end component;
179
   component reg_pc
180
   port (
181
      adr_i        : in     std_logic_vector (15 downto 0);
182
      clk_clk_i    : in     std_logic ;
183
      ld_i         : in     std_logic_vector (1 downto 0);
184
      ld_pc_i      : in     std_logic ;
185
      offset_i     : in     std_logic_vector (15 downto 0);
186
      rst_rst_n_i  : in     std_logic ;
187
      sel_pc_in_i  : in     std_logic ;
188
      sel_pc_val_i : in     std_logic_vector (1 downto 0);
189
      adr_nxt_pc_o : out    std_logic_vector (15 downto 0);
190
      adr_pc_o     : out    std_logic_vector (15 downto 0)
191 24 fpga_is_fu
   );
192 26 fpga_is_fu
   end component;
193
   component reg_sp
194
   port (
195
      adr_low_i   : in     std_logic_vector (7 downto 0);
196
      clk_clk_i   : in     std_logic ;
197
      ld_low_i    : in     std_logic ;
198
      ld_sp_i     : in     std_logic ;
199
      rst_rst_n_i : in     std_logic ;
200
      sel_sp_as_i : in     std_logic ;
201
      sel_sp_in_i : in     std_logic ;
202
      adr_sp_o    : out    std_logic_vector (15 downto 0)
203 24 fpga_is_fu
   );
204 26 fpga_is_fu
   end component;
205
   component regbank_axy
206
   port (
207
      clk_clk_i    : in     std_logic ;
208
      d_regs_in_i  : in     std_logic_vector (7 downto 0);
209
      load_regs_i  : in     std_logic ;
210
      rst_rst_n_i  : in     std_logic ;
211
      sel_rb_in_i  : in     std_logic_vector (1 downto 0);
212
      sel_rb_out_i : in     std_logic_vector (1 downto 0);
213
      sel_reg_i    : in     std_logic_vector (1 downto 0);
214
      d_regs_out_o : out    std_logic_vector (7 downto 0);
215
      q_a_o        : out    std_logic_vector (7 downto 0);
216
      q_x_o        : out    std_logic_vector (7 downto 0);
217
      q_y_o        : out    std_logic_vector (7 downto 0)
218 24 fpga_is_fu
   );
219 26 fpga_is_fu
   end component;
220 24 fpga_is_fu
 
221
   -- Optional embedded configurations
222
   -- pragma synthesis_off
223 26 fpga_is_fu
   for all : fsm_execution_unit use entity r6502_tc.fsm_execution_unit;
224
   for all : fsm_intnmi use entity r6502_tc.fsm_intnmi;
225
   for all : reg_pc use entity r6502_tc.reg_pc;
226
   for all : reg_sp use entity r6502_tc.reg_sp;
227
   for all : regbank_axy use entity r6502_tc.regbank_axy;
228 24 fpga_is_fu
   -- pragma synthesis_on
229
 
230
 
231 26 fpga_is_fu
begin
232 24 fpga_is_fu
 
233 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_11' of 'add'
234
   u_11combo_proc: process (ch_a_o_i, ch_b_o_i)
235
   variable temp_din0 : std_logic_vector(8 downto 0);
236
   variable temp_din1 : std_logic_vector(8 downto 0);
237
   variable temp_sum : unsigned(8 downto 0);
238
   variable temp_carry : std_logic;
239
   begin
240 24 fpga_is_fu
      temp_din0 := '0' & ch_a_o_i;
241
      temp_din1 := '0' & ch_b_o_i;
242
      temp_carry := '0';
243
      temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
244 26 fpga_is_fu
      d_alu_o_i <= conv_std_logic_vector(temp_sum(7 downto 0),8);
245 24 fpga_is_fu
      reg_0flag_o_i <= temp_sum(8) ;
246 26 fpga_is_fu
   end process u_11combo_proc;
247 24 fpga_is_fu
 
248 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_8' of 'inv'
249
   reg_1flag_o_i <= not(d_alu_or_o_i);
250 24 fpga_is_fu
 
251 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_9' of 'inv'
252
   reg_7flag_o_i <= not(d_alu_n_o_i);
253 24 fpga_is_fu
 
254 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_10' of 'inv'
255
   d_alu_n_o_i <= not(d_alu_o_i(7));
256 24 fpga_is_fu
 
257 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_7' of 'por'
258
   d_alu_or_o_i <= d_alu_o_i(0) or  d_alu_o_i(1) or  d_alu_o_i(2) or  d_alu_o_i(3) or  d_alu_o_i(4) or  d_alu_o_i(5) or  d_alu_o_i(6) or  d_alu_o_i(7);
259 24 fpga_is_fu
 
260
   -- Instance port mappings.
261 26 fpga_is_fu
   U_4 : fsm_execution_unit
262
      port map (
263
         adr_nxt_pc_i    => adr_nxt_pc_o_i,
264
         adr_pc_i        => adr_pc_o_i,
265
         adr_sp_i        => adr_sp_o_i,
266
         clk_clk_i       => clk_clk_i,
267
         d_alu_i         => d_alu_o_i,
268
         d_i             => d_i,
269
         d_regs_out_i    => d_regs_out_o_i,
270
         irq_n_i         => irq_n_i,
271
         nmi_i           => nmi_o_i,
272
         q_a_i           => q_a_o_i,
273
         q_x_i           => q_x_o_i,
274
         q_y_i           => q_y_o_i,
275
         rdy_i           => rdy_i,
276
         reg_0flag_i     => reg_0flag_o_i,
277
         reg_1flag_i     => reg_1flag_o_i,
278
         reg_7flag_i     => reg_7flag_o_i,
279
         rst_rst_n_i     => rst_rst_n_i,
280
         so_n_i          => so_n_i,
281
         a_o             => a_o,
282
         adr_o           => adr_o_i,
283
         ch_a_o          => ch_a_o_i,
284
         ch_b_o          => ch_b_o_i,
285
         d_o             => d_o,
286
         d_regs_in_o     => d_regs_in_o_i,
287
         int_fetch_o     => open,
288
         int_reg_2flag_o => open,
289
         ld_o            => ld_o_i,
290
         ld_pc_o         => ld_pc_o_i,
291
         ld_sp_o         => ld_sp_o_i,
292
         load_regs_o     => load_regs_o_i,
293
         offset_o        => offset_o_i,
294
         rd_o            => rd_o,
295
         rst_nmi_o       => rst_nmi_o_i,
296
         sel_pc_in_o     => sel_pc_in_o_i,
297
         sel_pc_val_o    => sel_pc_val_o_i,
298
         sel_rb_in_o     => sel_rb_in_o_i,
299
         sel_rb_out_o    => sel_rb_out_o_i,
300
         sel_reg_o       => sel_reg_o_i,
301
         sel_sp_as_o     => sel_sp_as_o_i,
302
         sel_sp_in_o     => sel_sp_in_o_i,
303
         sync_o          => sync_o,
304
         wr_n_o          => wr_n_o,
305
         wr_o            => wr_o
306 24 fpga_is_fu
      );
307 26 fpga_is_fu
   U_6 : fsm_intnmi
308
      port map (
309 24 fpga_is_fu
         clk_clk_i   => clk_clk_i,
310
         nmi_n_i     => nmi_n_i,
311
         rst_nmi_i   => rst_nmi_o_i,
312
         rst_rst_n_i => rst_rst_n_i,
313
         nmi_o       => nmi_o_i
314
      );
315 26 fpga_is_fu
   U_0 : reg_pc
316
      port map (
317 24 fpga_is_fu
         adr_i        => adr_o_i,
318
         clk_clk_i    => clk_clk_i,
319
         ld_i         => ld_o_i,
320
         ld_pc_i      => ld_pc_o_i,
321
         offset_i     => offset_o_i,
322
         rst_rst_n_i  => rst_rst_n_i,
323
         sel_pc_in_i  => sel_pc_in_o_i,
324
         sel_pc_val_i => sel_pc_val_o_i,
325
         adr_nxt_pc_o => adr_nxt_pc_o_i,
326
         adr_pc_o     => adr_pc_o_i
327
      );
328 26 fpga_is_fu
   U_1 : reg_sp
329
      port map (
330 24 fpga_is_fu
         adr_low_i   => adr_o_i(7 DOWNTO 0),
331
         clk_clk_i   => clk_clk_i,
332
         ld_low_i    => ld_o_i(0),
333
         ld_sp_i     => ld_sp_o_i,
334
         rst_rst_n_i => rst_rst_n_i,
335
         sel_sp_as_i => sel_sp_as_o_i,
336
         sel_sp_in_i => sel_sp_in_o_i,
337
         adr_sp_o    => adr_sp_o_i
338
      );
339 26 fpga_is_fu
   U_2 : regbank_axy
340
      port map (
341
         clk_clk_i    => clk_clk_i,
342
         d_regs_in_i  => d_regs_in_o_i,
343
         load_regs_i  => load_regs_o_i,
344
         rst_rst_n_i  => rst_rst_n_i,
345
         sel_rb_in_i  => sel_rb_in_o_i,
346
         sel_rb_out_i => sel_rb_out_o_i,
347
         sel_reg_i    => sel_reg_o_i,
348
         d_regs_out_o => d_regs_out_o_i,
349
         q_a_o        => q_a_o_i,
350
         q_x_o        => q_x_o_i,
351
         q_y_o        => q_y_o_i
352
      );
353 24 fpga_is_fu
 
354 26 fpga_is_fu
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.