OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [r6502_tc.vhd] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 fpga_is_fu
-- VHDL Entity r6502_tc.r6502_tc.symbol
2 24 fpga_is_fu
--
3
-- Created:
4 26 fpga_is_fu
--          by - eda.UNKNOWN (ENTW-7HPZ200)
5
--          at - 10:34:09 11.09.2018
6 24 fpga_is_fu
--
7 26 fpga_is_fu
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
8 24 fpga_is_fu
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13 26 fpga_is_fu
entity r6502_tc is
14
   port(
15
      clk_clk_i   : in     std_logic;
16
      d_i         : in     std_logic_vector (7 downto 0);
17
      irq_n_i     : in     std_logic;
18
      nmi_n_i     : in     std_logic;
19
      rdy_i       : in     std_logic;
20
      rst_rst_n_i : in     std_logic;
21
      so_n_i      : in     std_logic;
22
      a_o         : out    std_logic_vector (15 downto 0);
23
      d_o         : out    std_logic_vector (7 downto 0);
24
      rd_o        : out    std_logic;
25
      sync_o      : out    std_logic;
26
      wr_n_o      : out    std_logic;
27
      wr_o        : out    std_logic
28 24 fpga_is_fu
   );
29
 
30
-- Declarations
31
 
32 26 fpga_is_fu
end r6502_tc ;
33 24 fpga_is_fu
 
34 26 fpga_is_fu
-- (C) 2008 - 2018 Jens Gutschmidt
35
-- (email: opencores@vivare-services.com)
36
-- 
37
-- Versions:
38
-- Revision 1.4  2018/09/15 22:07:00  jens
39
-- - RESET generates SYNC now, 1 dead cycle
40
--   delayed
41
-- - ADC / SBC flags and A like R6502 now
42
-- - Bug Fix ADC and SBC in decimal mode
43
--   (all op codes - "Overflow" flag was computed
44
--   wrong)
45
-- - Interrupt priority order is now: BRK - NMI -
46
--   IRQ
47
-- - Performance improvements on-going
48
--   (Mealy -> Moore)
49
-- - Bug Fixes All Branch Instructions 
50
--   (BCC, BCS, BEQ, BNE, BPL, BMI, BVC,
51
--   BVS)
52
--   3 cycles now if branch forward occur and
53
--   the branch instruction lies on a xxFEh
54
--   location.
55
-- - Bug Fix Hardware Interrupts NMI & IRQ -
56
--   "SYNC" now
57
-- 
58
-- Revision 1.4  BETA 2013/07/24 11:11:00  jens
59
-- - Changing the title block and internal revision history
60
-- - Bug Fix ADC and SBC (all sub codes - "Overflow" flag was computed wrong)
61
-- 
62
-- Revision 1.3  2009/01/04 10:20:50  eda
63
-- Changes for cosmetic issues only
64
-- 
65
-- Revision 1.2  2009/01/04 09:23:12  eda
66
-- - Delete unused nets and blocks
67
-- - Rename blocks
68
-- - Re-arrage FSM symbols in block FSM_Execution_Unit
69
-- 
70
-- Revision 1.1  2009/01/03 16:36:48  eda
71
-- Production version
72
--  
73
-- 
74 24 fpga_is_fu
--
75 26 fpga_is_fu
-- VHDL Architecture r6502_tc.r6502_tc.struct
76 24 fpga_is_fu
--
77
-- Created:
78 26 fpga_is_fu
--          by - eda.UNKNOWN (ENTW-7HPZ200)
79
--          at - 22:34:11 15.09.2018
80 24 fpga_is_fu
--
81 26 fpga_is_fu
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
82 24 fpga_is_fu
--
83 26 fpga_is_fu
-- COPYRIGHT (C) 2008 - 2018 by Jens Gutschmidt
84
-- 
85
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
86
-- 
87
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.
88
-- 
89
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.
90
-- 
91
-- 
92 24 fpga_is_fu
LIBRARY ieee;
93
USE ieee.std_logic_1164.all;
94
 
95 26 fpga_is_fu
library r6502_tc;
96 24 fpga_is_fu
 
97 26 fpga_is_fu
architecture struct of r6502_tc is
98 24 fpga_is_fu
 
99
   -- Architecture declarations
100
 
101
   -- Internal signal declarations
102
 
103
 
104
   -- Component Declarations
105 26 fpga_is_fu
   component core
106
   port (
107
      clk_clk_i   : in     std_logic ;
108
      d_i         : in     std_logic_vector (7 downto 0);
109
      irq_n_i     : in     std_logic ;
110
      nmi_n_i     : in     std_logic ;
111
      rdy_i       : in     std_logic ;
112
      rst_rst_n_i : in     std_logic ;
113
      so_n_i      : in     std_logic ;
114
      a_o         : out    std_logic_vector (15 downto 0);
115
      d_o         : out    std_logic_vector (7 downto 0);
116
      rd_o        : out    std_logic ;
117
      sync_o      : out    std_logic ;
118
      wr_n_o      : out    std_logic ;
119
      wr_o        : out    std_logic
120 24 fpga_is_fu
   );
121 26 fpga_is_fu
   end component;
122 24 fpga_is_fu
 
123
   -- Optional embedded configurations
124
   -- pragma synthesis_off
125 26 fpga_is_fu
   for all : core use entity r6502_tc.core;
126 24 fpga_is_fu
   -- pragma synthesis_on
127
 
128
 
129 26 fpga_is_fu
begin
130 24 fpga_is_fu
 
131
   -- Instance port mappings.
132 26 fpga_is_fu
   U_0 : core
133
      port map (
134 24 fpga_is_fu
         clk_clk_i   => clk_clk_i,
135
         d_i         => d_i,
136
         irq_n_i     => irq_n_i,
137
         nmi_n_i     => nmi_n_i,
138
         rdy_i       => rdy_i,
139
         rst_rst_n_i => rst_rst_n_i,
140
         so_n_i      => so_n_i,
141
         a_o         => a_o,
142
         d_o         => d_o,
143
         rd_o        => rd_o,
144
         sync_o      => sync_o,
145
         wr_n_o      => wr_n_o,
146
         wr_o        => wr_o
147
      );
148
 
149 26 fpga_is_fu
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.