OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [reg_pc.vhd] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 fpga_is_fu
-- VHDL Entity r6502_tc.reg_pc.symbol
2 24 fpga_is_fu
--
3
-- Created:
4 26 fpga_is_fu
--          by - eda.UNKNOWN (ENTW-7HPZ200)
5
--          at - 11:45:07 11.09.2018
6 24 fpga_is_fu
--
7 26 fpga_is_fu
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
8 24 fpga_is_fu
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13 26 fpga_is_fu
entity reg_pc is
14
   port(
15
      adr_i        : in     std_logic_vector (15 downto 0);
16
      clk_clk_i    : in     std_logic;
17
      ld_i         : in     std_logic_vector (1 downto 0);
18
      ld_pc_i      : in     std_logic;
19
      offset_i     : in     std_logic_vector (15 downto 0);
20
      rst_rst_n_i  : in     std_logic;
21
      sel_pc_in_i  : in     std_logic;
22
      sel_pc_val_i : in     std_logic_vector (1 downto 0);
23
      adr_nxt_pc_o : out    std_logic_vector (15 downto 0);
24
      adr_pc_o     : out    std_logic_vector (15 downto 0)
25 24 fpga_is_fu
   );
26
 
27
-- Declarations
28
 
29 26 fpga_is_fu
end reg_pc ;
30 24 fpga_is_fu
 
31 26 fpga_is_fu
-- (C) 2008 - 2018 Jens Gutschmidt
32
-- (email: opencores@vivare-services.com)
33
-- 
34
-- Versions:
35
-- Revision 1.15  2013/07/21 11:11:00  jens
36
-- - Change mux 3-1 to mux 4-1 for vendors like Xilinx
37
-- - Changing the title block and internal revision history
38
-- 
39
-- Revision 1.3  2009/01/04 10:20:50  eda
40
-- Changes for cosmetic issues only
41
-- 
42
-- Revision 1.2  2009/01/04 09:23:12  eda
43
-- - Delete unused nets and blocks
44
-- - Rename blocks
45
-- - Re-arrage FSM symbols in block FSM_Execution_Unit
46
-- 
47
-- Revision 1.1  2009/01/03 16:36:48  eda
48
-- Production Release
49
--  
50
-- 
51 24 fpga_is_fu
--
52 26 fpga_is_fu
-- VHDL Architecture r6502_tc.reg_pc.struct
53 24 fpga_is_fu
--
54
-- Created:
55 26 fpga_is_fu
--          by - eda.UNKNOWN (ENTW-7HPZ200)
56
--          at - 11:45:07 11.09.2018
57 24 fpga_is_fu
--
58 26 fpga_is_fu
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
59 24 fpga_is_fu
--
60 26 fpga_is_fu
-- COPYRIGHT (C) 2008 - 2018 by Jens Gutschmidt
61
-- 
62
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
63
-- 
64
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.
65
-- 
66
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.
67
-- 
68
-- 
69 24 fpga_is_fu
LIBRARY ieee;
70
USE ieee.std_logic_1164.all;
71
USE ieee.std_logic_arith.all;
72
 
73
 
74 26 fpga_is_fu
architecture struct of reg_pc is
75 24 fpga_is_fu
 
76
   -- Architecture declarations
77
 
78
   -- Internal signal declarations
79 26 fpga_is_fu
   signal adr_pc_high_o_i : std_logic_vector(7 downto 0);
80
   signal adr_pc_low_o_i  : std_logic_vector(7 downto 0);
81
   signal adr_pc_o_i      : std_logic_vector(15 downto 0);
82
   signal ci_o_i          : std_logic;
83
   signal cout_pc_o_i     : std_logic;
84
   signal load3_o_i       : std_logic;
85
   signal load_o_i        : std_logic;
86
   signal offset_high_o_i : std_logic_vector(7 downto 0);
87
   signal offset_low_o_i  : std_logic_vector(7 downto 0);
88
   signal val_o_i         : std_logic_vector(7 downto 0);
89
   signal val_one         : std_logic_vector(7 downto 0);
90
   signal val_zero        : std_logic_vector(7 downto 0);
91 24 fpga_is_fu
 
92
   -- Implicit buffer signal declarations
93 26 fpga_is_fu
   signal adr_nxt_pc_o_internal : std_logic_vector (15 downto 0);
94
   signal adr_pc_o_internal     : std_logic_vector (15 downto 0);
95 24 fpga_is_fu
 
96
 
97 26 fpga_is_fu
   -- ModuleWare signal declarations(v1.12) for instance 'U_0' of 'adff'
98
   signal mw_U_0reg_cval : std_logic_vector(7 downto 0);
99 24 fpga_is_fu
 
100 26 fpga_is_fu
   -- ModuleWare signal declarations(v1.12) for instance 'U_4' of 'adff'
101
   signal mw_U_4reg_cval : std_logic_vector(7 downto 0);
102 24 fpga_is_fu
 
103 26 fpga_is_fu
   -- ModuleWare signal declarations(v1.12) for instance 'U_3' of 'split'
104
   signal mw_U_3temp_din : std_logic_vector(15 downto 0);
105 24 fpga_is_fu
 
106 26 fpga_is_fu
   -- ModuleWare signal declarations(v1.12) for instance 'U_5' of 'split'
107
   signal mw_U_5temp_din : std_logic_vector(15 downto 0);
108 24 fpga_is_fu
 
109
 
110 26 fpga_is_fu
begin
111 24 fpga_is_fu
 
112 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_2' of 'add'
113
   u_2combo_proc: process (adr_pc_low_o_i, val_o_i)
114
   variable temp_din0 : std_logic_vector(8 downto 0);
115
   variable temp_din1 : std_logic_vector(8 downto 0);
116
   variable temp_sum : unsigned(8 downto 0);
117
   variable temp_carry : std_logic;
118
   begin
119 24 fpga_is_fu
      temp_din0 := '0' & adr_pc_low_o_i;
120
      temp_din1 := '0' & val_o_i;
121
      temp_carry := '0';
122
      temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
123 26 fpga_is_fu
      adr_nxt_pc_o_internal(7 DOWNTO 0) <= conv_std_logic_vector(temp_sum(7 downto 0),8);
124 24 fpga_is_fu
      cout_pc_o_i <= temp_sum(8) ;
125 26 fpga_is_fu
   end process u_2combo_proc;
126 24 fpga_is_fu
 
127 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_11' of 'add'
128
   u_11combo_proc: process (adr_pc_high_o_i, offset_high_o_i, ci_o_i)
129
   variable temp_din0 : std_logic_vector(8 downto 0);
130
   variable temp_din1 : std_logic_vector(8 downto 0);
131
   variable temp_sum : unsigned(8 downto 0);
132
   variable temp_carry : std_logic;
133
   begin
134 24 fpga_is_fu
      temp_din0 := '0' & adr_pc_high_o_i;
135
      temp_din1 := '0' & offset_high_o_i;
136
      temp_carry := ci_o_i;
137
      temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
138 26 fpga_is_fu
      adr_nxt_pc_o_internal(15 DOWNTO 8) <= conv_std_logic_vector(temp_sum(7 downto 0),8);
139
   end process u_11combo_proc;
140 24 fpga_is_fu
 
141 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_0' of 'adff'
142 24 fpga_is_fu
   adr_pc_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval;
143 26 fpga_is_fu
   u_0seq_proc: process (clk_clk_i, rst_rst_n_i)
144
   begin
145
      if (rst_rst_n_i = '0') then
146 24 fpga_is_fu
         mw_U_0reg_cval <= "00000000";
147 26 fpga_is_fu
      elsif (clk_clk_i'event and clk_clk_i='1') then
148
         if (load_o_i = '1') then
149 24 fpga_is_fu
            mw_U_0reg_cval <= adr_nxt_pc_o_internal(7 DOWNTO 0);
150 26 fpga_is_fu
         end if;
151
      end if;
152
   end process u_0seq_proc;
153 24 fpga_is_fu
 
154 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_4' of 'adff'
155 24 fpga_is_fu
   adr_pc_o_internal(15 DOWNTO 8) <= mw_U_4reg_cval;
156 26 fpga_is_fu
   u_4seq_proc: process (clk_clk_i, rst_rst_n_i)
157
   begin
158
      if (rst_rst_n_i = '0') then
159 24 fpga_is_fu
         mw_U_4reg_cval <= "00000000";
160 26 fpga_is_fu
      elsif (clk_clk_i'event and clk_clk_i='1') then
161
         if (load3_o_i = '1') then
162 24 fpga_is_fu
            mw_U_4reg_cval <= adr_nxt_pc_o_internal(15 DOWNTO 8);
163 26 fpga_is_fu
         end if;
164
      end if;
165
   end process u_4seq_proc;
166 24 fpga_is_fu
 
167 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_6' of 'and'
168
   load_o_i <= ld_pc_i and ld_i(0);
169 24 fpga_is_fu
 
170 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_7' of 'and'
171
   load3_o_i <= ld_pc_i and ld_i(1);
172 24 fpga_is_fu
 
173 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_10' of 'and'
174
   ci_o_i <= cout_pc_o_i and ld_pc_i;
175 24 fpga_is_fu
 
176 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_1' of 'constval'
177 24 fpga_is_fu
   val_zero <= "00000000";
178
 
179 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_9' of 'constval'
180 24 fpga_is_fu
   val_one <= "00000001";
181
 
182 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_8' of 'mux'
183
   u_8combo_proc: process(adr_pc_o_internal, adr_i, sel_pc_in_i)
184
   begin
185
      case sel_pc_in_i is
186
      when '0' => adr_pc_o_i <= adr_pc_o_internal;
187
      when '1' => adr_pc_o_i <= adr_i;
188
      when others => adr_pc_o_i <= (others => 'X');
189
      end case;
190
   end process u_8combo_proc;
191 24 fpga_is_fu
 
192 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_13' of 'mux'
193
   u_13combo_proc: process(val_one, val_zero, offset_low_o_i,
194 24 fpga_is_fu
                           sel_pc_val_i)
195 26 fpga_is_fu
   begin
196
      case sel_pc_val_i is
197
      when "00" => val_o_i <= val_one;
198
      when "01" => val_o_i <= val_zero;
199
      when "10" => val_o_i <= offset_low_o_i;
200
      when "11" => val_o_i <= val_zero;
201
      when others => val_o_i <= (others => 'X');
202
      end case;
203
   end process u_13combo_proc;
204 24 fpga_is_fu
 
205 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_3' of 'split'
206 24 fpga_is_fu
   mw_U_3temp_din <= adr_pc_o_i;
207 26 fpga_is_fu
   u_3combo_proc: process (mw_U_3temp_din)
208
   variable temp_din: std_logic_vector(15 downto 0);
209
   begin
210
      temp_din := mw_U_3temp_din(15 downto 0);
211
      adr_pc_low_o_i <= temp_din(7 downto 0);
212
      adr_pc_high_o_i <= temp_din(15 downto 8);
213
   end process u_3combo_proc;
214 24 fpga_is_fu
 
215 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_5' of 'split'
216 24 fpga_is_fu
   mw_U_5temp_din <= offset_i;
217 26 fpga_is_fu
   u_5combo_proc: process (mw_U_5temp_din)
218
   variable temp_din: std_logic_vector(15 downto 0);
219
   begin
220
      temp_din := mw_U_5temp_din(15 downto 0);
221
      offset_low_o_i <= temp_din(7 downto 0);
222
      offset_high_o_i <= temp_din(15 downto 8);
223
   end process u_5combo_proc;
224 24 fpga_is_fu
 
225
   -- Instance port mappings.
226
 
227
   -- Implicit buffered output assignments
228
   adr_nxt_pc_o <= adr_nxt_pc_o_internal;
229
   adr_pc_o     <= adr_pc_o_internal;
230
 
231 26 fpga_is_fu
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.