OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [reg_sp.vhd] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 fpga_is_fu
-- VHDL Entity r6502_tc.reg_sp.symbol
2 24 fpga_is_fu
--
3
-- Created:
4 26 fpga_is_fu
--          by - remoteghost.UNKNOWN (ENTW-7HPZ200)
5
--          at - 18:50:08 05/20/10
6 24 fpga_is_fu
--
7 26 fpga_is_fu
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
8 24 fpga_is_fu
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13 26 fpga_is_fu
entity reg_sp is
14
   port(
15
      adr_low_i   : in     std_logic_vector (7 downto 0);
16
      clk_clk_i   : in     std_logic;
17
      ld_low_i    : in     std_logic;
18
      ld_sp_i     : in     std_logic;
19
      rst_rst_n_i : in     std_logic;
20
      sel_sp_as_i : in     std_logic;
21
      sel_sp_in_i : in     std_logic;
22
      adr_sp_o    : out    std_logic_vector (15 downto 0)
23 24 fpga_is_fu
   );
24
 
25
-- Declarations
26
 
27 26 fpga_is_fu
end reg_sp ;
28 24 fpga_is_fu
 
29 26 fpga_is_fu
-- (C) 2008 - 2018 Jens Gutschmidt
30
-- (email: opencores@vivare-services.com)
31
-- 
32
-- Versions:
33
-- Revision 1.8  2013/07/24 11:11:00  jens
34
-- - Changing the title block and internal revision history
35
-- 
36
-- Revision 1.6  2009/01/04 10:20:47  eda
37
-- Changes for cosmetic issues only
38
-- 
39
-- Revision 1.5  2009/01/04 09:23:10  eda
40
-- - Delete unused nets and blocks
41
-- - Rename blocks
42
-- 
43
-- Revision 1.4  2009/01/03 16:53:02  eda
44
-- - Unused nets and blocks deleted
45
-- - Renamed blocks
46
-- 
47
-- Revision 1.3  2009/01/03 16:42:02  eda
48
-- - Unused nets and blocks deleted
49
-- - Renamed blocks
50
-- 
51
-- Revision 1.2  2008/12/31 19:31:24  eda
52
-- Production Release
53
--  
54
-- 
55 24 fpga_is_fu
--
56 26 fpga_is_fu
-- VHDL Architecture r6502_tc.reg_sp.struct
57 24 fpga_is_fu
--
58
-- Created:
59 26 fpga_is_fu
--          by - eda.UNKNOWN (ENTW-7HPZ200)
60
--          at - 11:44:25 11.09.2018
61 24 fpga_is_fu
--
62 26 fpga_is_fu
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
63 24 fpga_is_fu
--
64 26 fpga_is_fu
-- COPYRIGHT (C) 2008 - 2018 by Jens Gutschmidt
65
-- 
66
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
67
-- 
68
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.
69
-- 
70
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.
71
-- 
72
-- 
73 24 fpga_is_fu
LIBRARY ieee;
74
USE ieee.std_logic_1164.all;
75
USE ieee.std_logic_arith.all;
76
 
77
 
78 26 fpga_is_fu
architecture struct of reg_sp is
79 24 fpga_is_fu
 
80
   -- Architecture declarations
81
 
82
   -- Internal signal declarations
83 26 fpga_is_fu
   signal adr_sp_low_o_i  : std_logic_vector(7 downto 0);
84
   signal load_o_i        : std_logic;
85
   signal result_low1_o_i : std_logic_vector(7 downto 0);
86
   signal result_low_o_i  : std_logic_vector(7 downto 0);
87
   signal sp_as_n_o_i     : std_logic;
88
   signal val_one         : std_logic_vector(7 downto 0);
89 24 fpga_is_fu
 
90
   -- Implicit buffer signal declarations
91 26 fpga_is_fu
   signal adr_sp_o_internal : std_logic_vector (15 downto 0);
92 24 fpga_is_fu
 
93
 
94 26 fpga_is_fu
   -- ModuleWare signal declarations(v1.12) for instance 'U_0' of 'adff'
95
   signal mw_U_0reg_cval : std_logic_vector(7 downto 0);
96 24 fpga_is_fu
 
97
 
98 26 fpga_is_fu
begin
99 24 fpga_is_fu
 
100 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_11' of 'addsub'
101
   u_11combo_proc: process (adr_sp_low_o_i, val_one, sp_as_n_o_i)
102
   variable temp_din0 : std_logic_vector(8 downto 0);
103
   variable temp_din1 : std_logic_vector(8 downto 0);
104
   variable temp_sum : unsigned(8 downto 0);
105
   variable temp_carry : std_logic;
106
   begin
107 24 fpga_is_fu
      temp_din0 := '0' & adr_sp_low_o_i;
108
      temp_din1 := '0' & val_one;
109
      temp_carry := '0';
110 26 fpga_is_fu
      if (sp_as_n_o_i = '1') then
111 24 fpga_is_fu
         temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
112 26 fpga_is_fu
      else
113 24 fpga_is_fu
         temp_sum := unsigned(temp_din0) - unsigned(temp_din1) - temp_carry;
114 26 fpga_is_fu
      end if;
115
      result_low_o_i <= conv_std_logic_vector(temp_sum(7 downto 0),8);
116
   end process u_11combo_proc;
117 24 fpga_is_fu
 
118 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_0' of 'adff'
119 24 fpga_is_fu
   adr_sp_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval;
120 26 fpga_is_fu
   u_0seq_proc: process (clk_clk_i, rst_rst_n_i)
121
   begin
122
      if (rst_rst_n_i = '0') then
123 24 fpga_is_fu
         mw_U_0reg_cval <= "00000000";
124 26 fpga_is_fu
      elsif (clk_clk_i'event and clk_clk_i='1') then
125
         if (load_o_i = '1') then
126 24 fpga_is_fu
            mw_U_0reg_cval <= result_low1_o_i;
127 26 fpga_is_fu
         end if;
128
      end if;
129
   end process u_0seq_proc;
130 24 fpga_is_fu
 
131 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_6' of 'and'
132
   load_o_i <= ld_sp_i and ld_low_i;
133 24 fpga_is_fu
 
134 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_3' of 'buff'
135 24 fpga_is_fu
   adr_sp_o_internal(15 DOWNTO 8) <= val_one;
136
 
137 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_4' of 'constval'
138 24 fpga_is_fu
   val_one <= "00000001";
139
 
140 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_2' of 'inv'
141
   sp_as_n_o_i <= not(sel_sp_as_i);
142 24 fpga_is_fu
 
143 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_8' of 'mux'
144
   u_8combo_proc: process(result_low_o_i, adr_low_i, sel_sp_in_i)
145
   begin
146
      case sel_sp_in_i is
147
      when '0' => result_low1_o_i <= result_low_o_i;
148
      when '1' => result_low1_o_i <= adr_low_i;
149
      when others => result_low1_o_i <= (others => 'X');
150
      end case;
151
   end process u_8combo_proc;
152 24 fpga_is_fu
 
153 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_10' of 'tap'
154
   adr_sp_low_o_i <= adr_sp_o_internal(7 downto 0);
155 24 fpga_is_fu
 
156
   -- Instance port mappings.
157
 
158
   -- Implicit buffered output assignments
159
   adr_sp_o <= adr_sp_o_internal;
160
 
161 26 fpga_is_fu
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.