OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [regbank_axy.vhd] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 fpga_is_fu
-- VHDL Entity r6502_tc.regbank_axy.symbol
2 24 fpga_is_fu
--
3
-- Created:
4 26 fpga_is_fu
--          by - eda.UNKNOWN (ENTW-7HPZ200)
5
--          at - 11:41:39 11.09.2018
6 24 fpga_is_fu
--
7 26 fpga_is_fu
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
8 24 fpga_is_fu
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13 26 fpga_is_fu
entity regbank_axy is
14
   port(
15
      clk_clk_i    : in     std_logic;
16
      d_regs_in_i  : in     std_logic_vector (7 downto 0);
17
      load_regs_i  : in     std_logic;
18
      rst_rst_n_i  : in     std_logic;
19
      sel_rb_in_i  : in     std_logic_vector (1 downto 0);
20
      sel_rb_out_i : in     std_logic_vector (1 downto 0);
21
      sel_reg_i    : in     std_logic_vector (1 downto 0);
22
      d_regs_out_o : out    std_logic_vector (7 downto 0);
23
      q_a_o        : out    std_logic_vector (7 downto 0);
24
      q_x_o        : out    std_logic_vector (7 downto 0);
25
      q_y_o        : out    std_logic_vector (7 downto 0)
26 24 fpga_is_fu
   );
27
 
28
-- Declarations
29
 
30 26 fpga_is_fu
end regbank_axy ;
31 24 fpga_is_fu
 
32 26 fpga_is_fu
-- (C) 2008 - 2018 Jens Gutschmidt
33
-- (email: opencores@vivare-services.com)
34
-- 
35
-- Versions:
36
-- Revision 1.5  2013/07/24 11:11:00  jens
37
-- - Changing the title block and internal revision history
38
-- - Change mux 3-1 to mux 4-1 for vendors like Xilinx
39
-- 
40
-- Revision 1.3  2009/01/04 10:20:50  eda
41
-- Changes for cosmetic issues only
42
-- 
43
-- Revision 1.2  2009/01/04 09:23:12  eda
44
-- - Delete unused nets and blocks
45
-- - Rename blocks
46
-- - Re-arrage FSM symbols in block FSM_Execution_Unit
47
-- 
48
-- Revision 1.1  2009/01/03 16:36:48  eda
49
-- Production Release
50
--  
51
-- 
52 24 fpga_is_fu
--
53 26 fpga_is_fu
-- VHDL Architecture r6502_tc.regbank_axy.struct
54 24 fpga_is_fu
--
55
-- Created:
56 26 fpga_is_fu
--          by - eda.UNKNOWN (ENTW-7HPZ200)
57
--          at - 11:44:39 11.09.2018
58 24 fpga_is_fu
--
59 26 fpga_is_fu
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
60 24 fpga_is_fu
--
61 26 fpga_is_fu
-- COPYRIGHT (C) 2008 - 2018 by Jens Gutschmidt
62
-- 
63
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
64
-- 
65
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.
66
-- 
67
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.
68
-- 
69
-- 
70 24 fpga_is_fu
LIBRARY ieee;
71
USE ieee.std_logic_1164.all;
72
USE ieee.std_logic_arith.all;
73
 
74
 
75 26 fpga_is_fu
architecture struct of regbank_axy is
76 24 fpga_is_fu
 
77
   -- Architecture declarations
78
 
79
   -- Internal signal declarations
80 26 fpga_is_fu
   signal ld        : std_logic_vector(2 downto 0);
81
   signal load1_o_i : std_logic;
82
   signal load2_o_i : std_logic;
83
   signal load_o_i  : std_logic;
84
   signal q_mux_o_i : std_logic_vector(7 downto 0);
85
   signal val_zero  : std_logic_vector(7 downto 0);
86 24 fpga_is_fu
 
87
   -- Implicit buffer signal declarations
88 26 fpga_is_fu
   signal q_a_o_internal : std_logic_vector (7 downto 0);
89
   signal q_x_o_internal : std_logic_vector (7 downto 0);
90
   signal q_y_o_internal : std_logic_vector (7 downto 0);
91 24 fpga_is_fu
 
92
 
93 26 fpga_is_fu
   -- ModuleWare signal declarations(v1.12) for instance 'U_0' of 'adff'
94
   signal mw_U_0reg_cval : std_logic_vector(7 downto 0);
95 24 fpga_is_fu
 
96 26 fpga_is_fu
   -- ModuleWare signal declarations(v1.12) for instance 'U_4' of 'adff'
97
   signal mw_U_4reg_cval : std_logic_vector(7 downto 0);
98 24 fpga_is_fu
 
99 26 fpga_is_fu
   -- ModuleWare signal declarations(v1.12) for instance 'U_5' of 'adff'
100
   signal mw_U_5reg_cval : std_logic_vector(7 downto 0);
101 24 fpga_is_fu
 
102
 
103 26 fpga_is_fu
begin
104 24 fpga_is_fu
 
105 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_0' of 'adff'
106 24 fpga_is_fu
   q_a_o_internal <= mw_U_0reg_cval;
107 26 fpga_is_fu
   u_0seq_proc: process (clk_clk_i, rst_rst_n_i)
108
   begin
109
      if (rst_rst_n_i = '0') then
110 24 fpga_is_fu
         mw_U_0reg_cval <= "00000000";
111 26 fpga_is_fu
      elsif (clk_clk_i'event and clk_clk_i='1') then
112
         if (load_o_i = '1') then
113 24 fpga_is_fu
            mw_U_0reg_cval <= q_mux_o_i;
114 26 fpga_is_fu
         end if;
115
      end if;
116
   end process u_0seq_proc;
117 24 fpga_is_fu
 
118 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_4' of 'adff'
119 24 fpga_is_fu
   q_x_o_internal <= mw_U_4reg_cval;
120 26 fpga_is_fu
   u_4seq_proc: process (clk_clk_i, rst_rst_n_i)
121
   begin
122
      if (rst_rst_n_i = '0') then
123 24 fpga_is_fu
         mw_U_4reg_cval <= "00000000";
124 26 fpga_is_fu
      elsif (clk_clk_i'event and clk_clk_i='1') then
125
         if (load1_o_i = '1') then
126 24 fpga_is_fu
            mw_U_4reg_cval <= q_mux_o_i;
127 26 fpga_is_fu
         end if;
128
      end if;
129
   end process u_4seq_proc;
130 24 fpga_is_fu
 
131 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_5' of 'adff'
132 24 fpga_is_fu
   q_y_o_internal <= mw_U_5reg_cval;
133 26 fpga_is_fu
   u_5seq_proc: process (clk_clk_i, rst_rst_n_i)
134
   begin
135
      if (rst_rst_n_i = '0') then
136 24 fpga_is_fu
         mw_U_5reg_cval <= "00000000";
137 26 fpga_is_fu
      elsif (clk_clk_i'event and clk_clk_i='1') then
138
         if (load2_o_i = '1') then
139 24 fpga_is_fu
            mw_U_5reg_cval <= q_mux_o_i;
140 26 fpga_is_fu
         end if;
141
      end if;
142
   end process u_5seq_proc;
143 24 fpga_is_fu
 
144 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_6' of 'and'
145
   load_o_i <= load_regs_i and ld(0);
146 24 fpga_is_fu
 
147 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_7' of 'and'
148
   load1_o_i <= load_regs_i and ld(1);
149 24 fpga_is_fu
 
150 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_8' of 'and'
151
   load2_o_i <= load_regs_i and ld(2);
152 24 fpga_is_fu
 
153 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_2' of 'constval'
154 24 fpga_is_fu
   val_zero <= "00000000";
155
 
156 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_1' of 'decoder1'
157
   u_1combo_proc: process (sel_reg_i)
158
   begin
159
      ld <= (others => '0');
160
      case sel_reg_i is
161
         when "00" => ld(0) <= '1';
162
         when "01" => ld(1) <= '1';
163
         when "10" => ld(2) <= '1';
164
         when others => ld <= (others => '0');
165
      end case;
166
   end process u_1combo_proc;
167 24 fpga_is_fu
 
168 26 fpga_is_fu
   -- ModuleWare code(v1.12) for instance 'U_3' of 'mux'
169
   u_3combo_proc: process(q_a_o_internal, q_y_o_internal, q_x_o_internal,
170
                          d_regs_in_i, sel_rb_in_i)
171
   begin
172
      case sel_rb_in_i is
173
      when "00" => q_mux_o_i <= q_a_o_internal;
174
      when "01" => q_mux_o_i <= q_y_o_internal;
175
      when "10" => q_mux_o_i <= q_x_o_internal;
176
      when "11" => q_mux_o_i <= d_regs_in_i;
177
      when others => q_mux_o_i <= (others => 'X');
178
      end case;
179
   end process u_3combo_proc;
180
 
181
   -- ModuleWare code(v1.12) for instance 'U_9' of 'mux'
182
   u_9combo_proc: process(q_a_o_internal, q_x_o_internal, q_y_o_internal,
183 24 fpga_is_fu
                          val_zero, sel_rb_out_i)
184 26 fpga_is_fu
   begin
185
      case sel_rb_out_i is
186
      when "00" => d_regs_out_o <= q_a_o_internal;
187
      when "01" => d_regs_out_o <= q_x_o_internal;
188
      when "10" => d_regs_out_o <= q_y_o_internal;
189
      when "11" => d_regs_out_o <= val_zero;
190
      when others => d_regs_out_o <= (others => 'X');
191
      end case;
192
   end process u_9combo_proc;
193 24 fpga_is_fu
 
194
   -- Instance port mappings.
195
 
196
   -- Implicit buffered output assignments
197
   q_a_o <= q_a_o_internal;
198
   q_x_o <= q_x_o_internal;
199
   q_y_o <= q_y_o_internal;
200
 
201 26 fpga_is_fu
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.