OpenCores
URL https://opencores.org/ocsvn/cpu65c02_true_cycle/cpu65c02_true_cycle/trunk

Subversion Repositories cpu65c02_true_cycle

[/] [cpu65c02_true_cycle/] [trunk/] [released/] [rtl/] [v2_00/] [vhdl/] [core.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 fpga_is_fu
LIBRARY ieee;
2
USE ieee.std_logic_1164.all;
3
USE ieee.std_logic_arith.all;
4
 
5
entity core is
6
   port(
7
      clk_clk_i   : in     std_logic;
8
      d_i         : in     std_logic_vector (7 downto 0);
9
      irq_n_i     : in     std_logic;
10
      nmi_n_i     : in     std_logic;
11
      rdy_i       : in     std_logic;
12
      rst_rst_n_i : in     std_logic;
13
      so_n_i      : in     std_logic;
14
      a_o         : out    std_logic_vector (15 downto 0);
15
      d_o         : out    std_logic_vector (7 downto 0);
16
      rd_o        : out    std_logic;
17
      sync_o      : out    std_logic;
18
      wr_n_o      : out    std_logic;
19
      wr_o        : out    std_logic
20
   );
21
 
22
-- Declarations
23
 
24
end core ;
25
-- (C) 2008 - 2021 Jens Gutschmidt
26
-- (email: opencores@vivare-services.com)
27
-- 
28
-- Versions:
29
-- Revision 1.10  2021/01/22 13:26:00  jens
30
-- Production Release
31
-- 
32
-- Revision 1.9  2021/01/10 17:40:00  jens
33
-- - Performance improvements
34
-- 
35
-- Revision 1.8  2013/08/01 11:00:00  jens
36
-- - Change Block names to lower case
37
-- - Bug Fix RMB, SMB Bug - Bit position decoded wrong. Adding a priority encoder.
38
-- 
39
-- Revision 1.7  2013/07/21 11:11:00  jens
40
-- - Changing the title block and internal revision history
41
-- 
42
-- Revision 1.6  2009/01/04 10:20:47  eda
43
-- Changes for cosmetic issues only
44
-- 
45
-- Revision 1.5  2009/01/04 09:23:10  eda
46
-- - Delete unused nets and blocks (same as R6502_TC)
47
-- - Rename blocks
48
-- 
49
-- Revision 1.4  2009/01/03 16:53:02  eda
50
-- - Unused nets and blocks deleted
51
-- - Renamed blocks
52
-- 
53
-- Revision 1.3  2009/01/03 16:42:02  eda
54
-- - Unused nets and blocks deleted
55
-- - Renamed blocks
56
-- 
57
-- Revision 1.2  2008/12/31 19:31:24  eda
58
-- Production Release
59
--  
60
-- 
61
--
62
-- r65c02_tc.core.struct
63
--
64
-- Date:    22.01.2021
65
-- Time:    13:26:27
66
-- By:        VIVARE GmbH, Switzerland
67
--
68
-- COPYRIGHT (C) 2008 - 2021 by Jens Gutschmidt
69
-- 
70
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
71
-- 
72
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.
73
-- 
74
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.
75
-- 
76
-- 
77
LIBRARY ieee;
78
USE ieee.std_logic_1164.all;
79
USE ieee.std_logic_arith.all;
80
 
81
library r65c02_tc;
82
 
83
architecture struct of core is
84
 
85
   -- Architecture declarations
86
 
87
   -- Internal signal declarations
88
   signal adr_nxt_pc_o_i     : std_logic_vector(15 downto 0);
89
   signal adr_o_i            : std_logic_vector(15 downto 0);
90
   signal adr_pc_o_i         : std_logic_vector(15 downto 0);
91
   signal adr_sp_o_i         : std_logic_vector(15 downto 0);
92
   signal ch_a_o_i           : std_logic_vector(7 downto 0);
93
   signal ch_b_o_i           : std_logic_vector(7 downto 0);
94
   signal d_alu_n_o_i        : std_logic;
95
   signal d_alu_o_i          : std_logic_vector(7 downto 0);
96
   signal d_alu_or_o_i       : std_logic;
97
   signal d_alu_prio_o_i     : std_logic_vector(7 downto 0);
98
   signal d_regs_in_o_i      : std_logic_vector(7 downto 0);
99
   signal d_regs_out_o_i     : std_logic_vector(7 downto 0);
100
   signal ld_o_i             : std_logic_vector(1 downto 0);
101
   signal ld_pc_o_i          : std_logic;
102
   signal ld_sp_o_i          : std_logic;
103
   signal load_regs_o_i      : std_logic;
104
   signal nmi_o_i            : std_logic;
105
   signal offset_o_i         : std_logic_vector(15 downto 0);
106
   signal q_a_o_i            : std_logic_vector(7 downto 0);
107
   signal q_x_o_i            : std_logic_vector(7 downto 0);
108
   signal q_y_o_i            : std_logic_vector(7 downto 0);
109
   signal reg_0flag_o_i      : std_logic;
110
   signal reg_1flag_o_i      : std_logic;
111
   signal reg_7flag_o_i      : std_logic;
112
   signal rst_nmi_o_i        : std_logic;
113
   signal sel_pc_in_o_i      : std_logic;
114
   signal sel_pc_val_o_i     : std_logic_vector(1 downto 0);
115
   signal sel_rb_in_o_i      : std_logic_vector(1 downto 0);
116
   signal sel_rb_out_o_i     : std_logic_vector(1 downto 0);
117
   signal sel_reg_o_i        : std_logic_vector(1 downto 0);
118
   signal sel_sp_as_o_i      : std_logic;
119
   signal sel_sp_in_o_i      : std_logic;
120
   signal var_shift_data_o_i : std_logic_vector(7 downto 0);
121
 
122
 
123
   -- Component Declarations
124
   component fsm_execution_unit
125
   port (
126
      adr_nxt_pc_i : in     std_logic_vector (15 downto 0);
127
      adr_pc_i     : in     std_logic_vector (15 downto 0);
128
      adr_sp_i     : in     std_logic_vector (15 downto 0);
129
      clk_clk_i    : in     std_logic ;
130
      d_alu_i      : in     std_logic_vector ( 7 downto 0 );
131
      d_alu_prio_i : in     std_logic_vector (7 downto 0);
132
      d_i          : in     std_logic_vector ( 7 downto 0 );
133
      d_regs_out_i : in     std_logic_vector ( 7 downto 0 );
134
      irq_n_i      : in     std_logic ;
135
      nmi_i        : in     std_logic ;
136
      q_a_i        : in     std_logic_vector ( 7 downto 0 );
137
      q_x_i        : in     std_logic_vector ( 7 downto 0 );
138
      q_y_i        : in     std_logic_vector ( 7 downto 0 );
139
      rdy_i        : in     std_logic ;
140
      reg_0flag_i  : in     std_logic ;
141
      reg_1flag_i  : in     std_logic ;
142
      reg_7flag_i  : in     std_logic ;
143
      rst_rst_n_i  : in     std_logic ;
144
      so_n_i       : in     std_logic ;
145
      a_o          : out    std_logic_vector (15 downto 0);
146
      adr_o        : out    std_logic_vector (15 downto 0);
147
      ch_a_o       : out    std_logic_vector ( 7 downto 0 );
148
      ch_b_o       : out    std_logic_vector ( 7 downto 0 );
149
      d_o          : out    std_logic_vector ( 7 downto 0 );
150
      d_regs_in_o  : out    std_logic_vector ( 7 downto 0 );
151
      ld_o         : out    std_logic_vector ( 1 downto 0 );
152
      ld_pc_o      : out    std_logic ;
153
      ld_sp_o      : out    std_logic ;
154
      load_regs_o  : out    std_logic ;
155
      offset_o     : out    std_logic_vector ( 15 downto 0 );
156
      rd_o         : out    std_logic ;
157
      rst_nmi_o    : out    std_logic ;
158
      sel_pc_in_o  : out    std_logic ;
159
      sel_pc_val_o : out    std_logic_vector ( 1 downto 0 );
160
      sel_rb_in_o  : out    std_logic_vector ( 1 downto 0 );
161
      sel_rb_out_o : out    std_logic_vector ( 1 downto 0 );
162
      sel_reg_o    : out    std_logic_vector ( 1 downto 0 );
163
      sel_sp_as_o  : out    std_logic ;
164
      sel_sp_in_o  : out    std_logic ;
165
      sync_o       : out    std_logic ;
166
      wr_n_o       : out    std_logic ;
167
      wr_o         : out    std_logic
168
   );
169
   end component;
170
   component fsm_intnmi
171
   port (
172
      clk_clk_i   : in     std_logic ;
173
      nmi_n_i     : in     std_logic ;
174
      rst_nmi_i   : in     std_logic ;
175
      rst_rst_n_i : in     std_logic ;
176
      nmi_o       : out    std_logic
177
   );
178
   end component;
179
   component reg_pc
180
   port (
181
      adr_i        : in     std_logic_vector (15 downto 0);
182
      clk_clk_i    : in     std_logic ;
183
      ld_i         : in     std_logic_vector (1 downto 0);
184
      ld_pc_i      : in     std_logic ;
185
      offset_i     : in     std_logic_vector (15 downto 0);
186
      rst_rst_n_i  : in     std_logic ;
187
      sel_pc_in_i  : in     std_logic ;
188
      sel_pc_val_i : in     std_logic_vector (1 downto 0);
189
      adr_nxt_pc_o : out    std_logic_vector (15 downto 0);
190
      adr_pc_o     : out    std_logic_vector (15 downto 0)
191
   );
192
   end component;
193
   component reg_sp
194
   port (
195
      adr_low_i   : in     std_logic_vector (7 downto 0);
196
      clk_clk_i   : in     std_logic ;
197
      ld_low_i    : in     std_logic ;
198
      ld_sp_i     : in     std_logic ;
199
      rst_rst_n_i : in     std_logic ;
200
      sel_sp_as_i : in     std_logic ;
201
      sel_sp_in_i : in     std_logic ;
202
      adr_sp_o    : out    std_logic_vector (15 downto 0)
203
   );
204
   end component;
205
   component regbank_axy
206
   port (
207
      clk_clk_i    : in     std_logic ;
208
      d_regs_in_i  : in     std_logic_vector (7 downto 0);
209
      load_regs_i  : in     std_logic ;
210
      rst_rst_n_i  : in     std_logic ;
211
      sel_rb_in_i  : in     std_logic_vector (1 downto 0);
212
      sel_rb_out_i : in     std_logic_vector (1 downto 0);
213
      sel_reg_i    : in     std_logic_vector (1 downto 0);
214
      d_regs_out_o : out    std_logic_vector (7 downto 0);
215
      q_a_o        : out    std_logic_vector (7 downto 0);
216
      q_x_o        : out    std_logic_vector (7 downto 0);
217
      q_y_o        : out    std_logic_vector (7 downto 0)
218
   );
219
   end component;
220
 
221
   -- Optional embedded configurations
222
   -- pragma synthesis_off
223
   for all : fsm_execution_unit use entity r65c02_tc.fsm_execution_unit;
224
   for all : fsm_intnmi use entity r65c02_tc.fsm_intnmi;
225
   for all : reg_pc use entity r65c02_tc.reg_pc;
226
   for all : reg_sp use entity r65c02_tc.reg_sp;
227
   for all : regbank_axy use entity r65c02_tc.regbank_axy;
228
   -- pragma synthesis_on
229
 
230
 
231
begin
232
   -- Architecture concurrent statements
233
   -- HDL Embedded Text Block 1 eb1
234
   -- eb1 1
235
   var_shift_data_o_i <= x"01";
236
 
237
 
238
   -- ModuleWare code(v1.12) for instance 'U_11' of 'add'
239
   u_11combo_proc: process (ch_a_o_i, ch_b_o_i)
240
   variable temp_din0 : std_logic_vector(8 downto 0);
241
   variable temp_din1 : std_logic_vector(8 downto 0);
242
   variable temp_sum : unsigned(8 downto 0);
243
   variable temp_carry : std_logic;
244
   begin
245
      temp_din0 := '0' & ch_a_o_i;
246
      temp_din1 := '0' & ch_b_o_i;
247
      temp_carry := '0';
248
      temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
249
      d_alu_o_i <= conv_std_logic_vector(temp_sum(7 downto 0),8);
250
      reg_0flag_o_i <= temp_sum(8) ;
251
   end process u_11combo_proc;
252
 
253
   -- ModuleWare code(v1.12) for instance 'U_8' of 'inv'
254
   reg_1flag_o_i <= not(d_alu_or_o_i);
255
 
256
   -- ModuleWare code(v1.12) for instance 'U_9' of 'inv'
257
   reg_7flag_o_i <= not(d_alu_n_o_i);
258
 
259
   -- ModuleWare code(v1.12) for instance 'U_10' of 'inv'
260
   d_alu_n_o_i <= not(d_alu_o_i(7));
261
 
262
   -- ModuleWare code(v1.12) for instance 'U_5' of 'lshift'
263
   u_5combo_proc : process (var_shift_data_o_i, ch_a_o_i)
264
   variable temp_shift : std_logic_vector (3 downto 0);
265
   variable temp_dout : std_logic_vector (7 downto 0);
266
   variable temp_din : std_logic_vector (7 downto 0);
267
   begin
268
      temp_din := (others=> 'X');
269
      temp_shift := ch_a_o_i(3 downto 0);
270
      temp_din := var_shift_data_o_i;
271
      for i in 0 to 3 loop
272
         if (i < 3) then
273
            if (temp_shift(i) = '1') then
274
               temp_dout := (others => '0');
275
               temp_dout(7 downto 2**i) := temp_din(7 - 2**i downto 0);
276
            elsif (temp_shift(i) = '0') then
277
               temp_dout := temp_din;
278
            else
279
               temp_dout := (others => 'X');
280
            end if;
281
         else
282
            if (temp_shift(i) = '1') then
283
               temp_dout := (others => '0');
284
            elsif (temp_shift(i) = '0') then
285
               temp_dout := temp_din;
286
            else
287
               temp_dout := (others => 'X');
288
            end if;
289
         end if;
290
         temp_din := temp_dout;
291
      end loop;
292
      d_alu_prio_o_i <= temp_dout;
293
   end process u_5combo_proc;
294
 
295
   -- ModuleWare code(v1.12) for instance 'U_7' of 'por'
296
   d_alu_or_o_i <= d_alu_o_i(0) or  d_alu_o_i(1) or  d_alu_o_i(2) or  d_alu_o_i(3) or  d_alu_o_i(4) or  d_alu_o_i(5) or  d_alu_o_i(6) or  d_alu_o_i(7);
297
 
298
   -- Instance port mappings.
299
   U_4 : fsm_execution_unit
300
      port map (
301
         adr_nxt_pc_i => adr_nxt_pc_o_i,
302
         adr_pc_i     => adr_pc_o_i,
303
         adr_sp_i     => adr_sp_o_i,
304
         clk_clk_i    => clk_clk_i,
305
         d_alu_i      => d_alu_o_i,
306
         d_alu_prio_i => d_alu_prio_o_i,
307
         d_i          => d_i,
308
         d_regs_out_i => d_regs_out_o_i,
309
         irq_n_i      => irq_n_i,
310
         nmi_i        => nmi_o_i,
311
         q_a_i        => q_a_o_i,
312
         q_x_i        => q_x_o_i,
313
         q_y_i        => q_y_o_i,
314
         rdy_i        => rdy_i,
315
         reg_0flag_i  => reg_0flag_o_i,
316
         reg_1flag_i  => reg_1flag_o_i,
317
         reg_7flag_i  => reg_7flag_o_i,
318
         rst_rst_n_i  => rst_rst_n_i,
319
         so_n_i       => so_n_i,
320
         a_o          => a_o,
321
         adr_o        => adr_o_i,
322
         ch_a_o       => ch_a_o_i,
323
         ch_b_o       => ch_b_o_i,
324
         d_o          => d_o,
325
         d_regs_in_o  => d_regs_in_o_i,
326
         ld_o         => ld_o_i,
327
         ld_pc_o      => ld_pc_o_i,
328
         ld_sp_o      => ld_sp_o_i,
329
         load_regs_o  => load_regs_o_i,
330
         offset_o     => offset_o_i,
331
         rd_o         => rd_o,
332
         rst_nmi_o    => rst_nmi_o_i,
333
         sel_pc_in_o  => sel_pc_in_o_i,
334
         sel_pc_val_o => sel_pc_val_o_i,
335
         sel_rb_in_o  => sel_rb_in_o_i,
336
         sel_rb_out_o => sel_rb_out_o_i,
337
         sel_reg_o    => sel_reg_o_i,
338
         sel_sp_as_o  => sel_sp_as_o_i,
339
         sel_sp_in_o  => sel_sp_in_o_i,
340
         sync_o       => sync_o,
341
         wr_n_o       => wr_n_o,
342
         wr_o         => wr_o
343
      );
344
   U_3 : fsm_intnmi
345
      port map (
346
         clk_clk_i   => clk_clk_i,
347
         nmi_n_i     => nmi_n_i,
348
         rst_nmi_i   => rst_nmi_o_i,
349
         rst_rst_n_i => rst_rst_n_i,
350
         nmi_o       => nmi_o_i
351
      );
352
   U_0 : reg_pc
353
      port map (
354
         adr_i        => adr_o_i,
355
         clk_clk_i    => clk_clk_i,
356
         ld_i         => ld_o_i,
357
         ld_pc_i      => ld_pc_o_i,
358
         offset_i     => offset_o_i,
359
         rst_rst_n_i  => rst_rst_n_i,
360
         sel_pc_in_i  => sel_pc_in_o_i,
361
         sel_pc_val_i => sel_pc_val_o_i,
362
         adr_nxt_pc_o => adr_nxt_pc_o_i,
363
         adr_pc_o     => adr_pc_o_i
364
      );
365
   U_1 : reg_sp
366
      port map (
367
         adr_low_i   => adr_o_i(7 DOWNTO 0),
368
         clk_clk_i   => clk_clk_i,
369
         ld_low_i    => ld_o_i(0),
370
         ld_sp_i     => ld_sp_o_i,
371
         rst_rst_n_i => rst_rst_n_i,
372
         sel_sp_as_i => sel_sp_as_o_i,
373
         sel_sp_in_i => sel_sp_in_o_i,
374
         adr_sp_o    => adr_sp_o_i
375
      );
376
   U_2 : regbank_axy
377
      port map (
378
         clk_clk_i    => clk_clk_i,
379
         d_regs_in_i  => d_regs_in_o_i,
380
         load_regs_i  => load_regs_o_i,
381
         rst_rst_n_i  => rst_rst_n_i,
382
         sel_rb_in_i  => sel_rb_in_o_i,
383
         sel_rb_out_i => sel_rb_out_o_i,
384
         sel_reg_i    => sel_reg_o_i,
385
         d_regs_out_o => d_regs_out_o_i,
386
         q_a_o        => q_a_o_i,
387
         q_x_o        => q_x_o_i,
388
         q_y_o        => q_y_o_i
389
      );
390
 
391
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.