OpenCores
URL https://opencores.org/ocsvn/cpu_lecture/cpu_lecture/trunk

Subversion Repositories cpu_lecture

[/] [cpu_lecture/] [trunk/] [src/] [alu.vhd] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jsauermann
-------------------------------------------------------------------------------
2
-- 
3
-- Copyright (C) 2009, 2010 Dr. Juergen Sauermann
4
-- 
5
--  This code is free software: you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation, either version 3 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This code is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this code (see the file named COPYING).
17
--  If not, see http://www.gnu.org/licenses/.
18
--
19
-------------------------------------------------------------------------------
20
-------------------------------------------------------------------------------
21
--
22
-- Module Name:    alu - Behavioral 
23
-- Create Date:    13:51:24 11/07/2009 
24
-- Description:    arithmetic logic unit of a CPU
25
--
26
-------------------------------------------------------------------------------
27
--
28
library IEEE;
29
use IEEE.std_logic_1164.ALL;
30
use IEEE.std_logic_ARITH.ALL;
31
use IEEE.std_logic_UNSIGNED.ALL;
32
 
33
use work.common.ALL;
34
 
35
entity alu is
36
    port (  I_ALU_OP    : in  std_logic_vector( 4 downto 0);
37
            I_BIT       : in  std_logic_vector( 3 downto 0);
38
            I_D         : in  std_logic_vector(15 downto 0);
39
            I_D0        : in  std_logic;
40
            I_DIN       : in  std_logic_vector( 7 downto 0);
41
            I_FLAGS     : in  std_logic_vector( 7 downto 0);
42
            I_IMM       : in  std_logic_vector( 7 downto 0);
43
            I_PC        : in  std_logic_vector(15 downto 0);
44
            I_R         : in  std_logic_vector(15 downto 0);
45
            I_R0        : in  std_logic;
46
            I_RSEL      : in  std_logic_vector( 1 downto 0);
47
 
48
            Q_FLAGS     : out std_logic_vector( 9 downto 0);
49
            Q_DOUT      : out std_logic_vector(15 downto 0));
50
end alu;
51
 
52
architecture Behavioral of alu is
53
 
54
function ze(A: std_logic_vector(7 downto 0)) return std_logic is
55
begin
56
    return not (A(0) or A(1) or A(2) or A(3) or
57
                A(4) or A(5) or A(6) or A(7));
58
end;
59
 
60 21 jsauermann
function cy_add(Rd, Rr, R: std_logic) return std_logic is
61 2 jsauermann
begin
62 21 jsauermann
    return (Rd and Rr) or (Rd and (not R)) or ((not R) and Rr);
63 2 jsauermann
end;
64
 
65 21 jsauermann
function ov_add(Rd, Rr, R: std_logic) return std_logic is
66 2 jsauermann
begin
67 21 jsauermann
    return (Rd and Rr and (not R)) or ((not Rd) and (not Rr) and R);
68 2 jsauermann
end;
69
 
70 21 jsauermann
function si_add(Rd, Rr, R: std_logic) return std_logic is
71 2 jsauermann
begin
72 21 jsauermann
    return R xor ov_add(Rd, Rr, R);
73 2 jsauermann
end;
74
 
75 21 jsauermann
function cy_sub(Rd, Rr, R: std_logic) return std_logic is
76
begin
77
    return ((not Rd) and Rr) or (Rr and R) or (R and (not Rd));
78
end;
79
 
80
function ov_sub(Rd, Rr, R: std_logic) return std_logic is
81
begin
82
    return (Rd and (not Rr) and (not R)) or ((not Rd) and Rr and R);
83
end;
84
 
85
function si_sub(Rd, Rr, R: std_logic) return std_logic is
86
begin
87
    return R xor ov_sub(Rd, Rr, R);
88
end;
89
 
90 2 jsauermann
signal L_ADC_DR     : std_logic_vector( 7 downto 0);    -- D + R + Carry
91
signal L_ADD_DR     : std_logic_vector( 7 downto 0);    -- D + R
92
signal L_ADIW_D     : std_logic_vector(15 downto 0);    -- D + IMM
93
signal L_AND_DR     : std_logic_vector( 7 downto 0);    -- D and R
94
signal L_ASR_D      : std_logic_vector( 7 downto 0);    -- (signed D) >> 1
95
signal L_D8         : std_logic_vector( 7 downto 0);    -- D(7 downto 0)
96
signal L_DEC_D      : std_logic_vector( 7 downto 0);    -- D - 1
97
signal L_DOUT       : std_logic_vector(15 downto 0);
98
signal L_INC_D      : std_logic_vector( 7 downto 0);    -- D + 1
99
signal L_LSR_D      : std_logic_vector( 7 downto 0);    -- (unsigned) D >> 1
100
signal L_MASK_I     : std_logic_vector( 7 downto 0);    -- 1 << IMM
101
signal L_NEG_D      : std_logic_vector( 7 downto 0);    -- 0 - D
102
signal L_NOT_D      : std_logic_vector( 7 downto 0);    -- 0 not D
103
signal L_OR_DR      : std_logic_vector( 7 downto 0);    -- D or R
104
signal L_PROD       : std_logic_vector(17 downto 0);    -- D * R
105
signal L_R8         : std_logic_vector( 7 downto 0);    -- odd or even R
106
signal L_RI8        : std_logic_vector( 7 downto 0);    -- R8 or IMM
107
signal L_RBIT       : std_logic;
108
signal L_SBIW_D     : std_logic_vector(15 downto 0);    -- D - IMM
109
signal L_ROR_D      : std_logic_vector( 7 downto 0);    -- D rotated right
110
signal L_SBC_DR     : std_logic_vector( 7 downto 0);    -- D - R - Carry
111
signal L_SIGN_D     : std_logic;
112
signal L_SIGN_R     : std_logic;
113
signal L_SUB_DR     : std_logic_vector( 7 downto 0);    -- D - R
114
signal L_SWAP_D     : std_logic_vector( 7 downto 0);    -- D swapped
115
signal L_XOR_DR     : std_logic_vector( 7 downto 0);    -- D xor R
116
 
117
begin
118
 
119
    dinbit: process(I_DIN, I_BIT(2 downto 0))
120
    begin
121
        case I_BIT(2 downto 0) is
122
            when "000"  => L_RBIT <= I_DIN(0);   L_MASK_I <= "00000001";
123
            when "001"  => L_RBIT <= I_DIN(1);   L_MASK_I <= "00000010";
124
            when "010"  => L_RBIT <= I_DIN(2);   L_MASK_I <= "00000100";
125
            when "011"  => L_RBIT <= I_DIN(3);   L_MASK_I <= "00001000";
126
            when "100"  => L_RBIT <= I_DIN(4);   L_MASK_I <= "00010000";
127
            when "101"  => L_RBIT <= I_DIN(5);   L_MASK_I <= "00100000";
128
            when "110"  => L_RBIT <= I_DIN(6);   L_MASK_I <= "01000000";
129
            when others => L_RBIT <= I_DIN(7);   L_MASK_I <= "10000000";
130
        end case;
131
    end process;
132
 
133
    process(L_ADC_DR, L_ADD_DR, L_ADIW_D, I_ALU_OP, L_AND_DR, L_ASR_D,
134
            I_BIT, I_D, L_D8, L_DEC_D, I_DIN, I_FLAGS, I_IMM, L_MASK_I,
135
            L_INC_D, L_LSR_D, L_NEG_D, L_NOT_D, L_OR_DR, I_PC, L_PROD,
136
            I_R, L_RI8, L_RBIT, L_ROR_D, L_SBIW_D, L_SUB_DR, L_SBC_DR,
137
            L_SIGN_D, L_SIGN_R, L_SWAP_D, L_XOR_DR)
138
    begin
139
        Q_FLAGS(9) <= L_RBIT xor not I_BIT(3);      -- DIN[BIT] = BIT[3]
140
        Q_FLAGS(8) <= ze(L_SUB_DR);                 -- D == R for CPSE
141
        Q_FLAGS(7 downto 0) <= I_FLAGS;
142
        L_DOUT <= X"0000";
143
 
144
        case I_ALU_OP is
145
            when ALU_ADC =>
146
                L_DOUT <= L_ADC_DR & L_ADC_DR;
147 21 jsauermann
                Q_FLAGS(0) <= cy_add(L_D8(7), L_RI8(7), L_ADC_DR(7));-- Carry
148
                Q_FLAGS(1) <= ze(L_ADC_DR);                          -- Zero
149
                Q_FLAGS(2) <= L_ADC_DR(7);                           -- Negative
150
                Q_FLAGS(3) <= ov_add(L_D8(7), L_RI8(7), L_ADC_DR(7));-- Overflow
151
                Q_FLAGS(4) <= si_add(L_D8(7), L_RI8(7), L_ADC_DR(7));-- Signed
152
                Q_FLAGS(5) <= cy_add(L_D8(3), L_RI8(3), L_ADC_DR(3));-- Halfcarry
153 2 jsauermann
 
154
            when ALU_ADD =>
155
                L_DOUT <= L_ADD_DR & L_ADD_DR;
156 21 jsauermann
                Q_FLAGS(0) <= cy_add(L_D8(7), L_RI8(7), L_ADD_DR(7));-- Carry
157
                Q_FLAGS(1) <= ze(L_ADD_DR);                          -- Zero
158
                Q_FLAGS(2) <= L_ADD_DR(7);                           -- Negative
159
                Q_FLAGS(3) <= ov_add(L_D8(7), L_RI8(7), L_ADD_DR(7));-- Overflow
160
                Q_FLAGS(4) <= si_add(L_D8(7), L_RI8(7), L_ADD_DR(7));-- Signed
161
                Q_FLAGS(5) <= cy_add(L_D8(3), L_RI8(3), L_ADD_DR(3));-- Halfcarry
162 2 jsauermann
 
163
            when ALU_ADIW =>
164
                L_DOUT <= L_ADIW_D;
165
                Q_FLAGS(0) <= L_ADIW_D(15) and not I_D(15);         -- Carry
166
                Q_FLAGS(1) <= ze(L_ADIW_D(15 downto 8)) and
167
                              ze(L_ADIW_D(7 downto 0));             -- Zero
168
                Q_FLAGS(2) <= L_ADIW_D(15);                         -- Negative
169
                Q_FLAGS(3) <= I_D(15) and not L_ADIW_D(15);         -- Overflow
170
                Q_FLAGS(4) <= (L_ADIW_D(15) and not I_D(15))
171
                          xor (I_D(15) and not L_ADIW_D(15));       -- Signed
172
 
173
            when ALU_AND =>
174
                L_DOUT <= L_AND_DR & L_AND_DR;
175
                Q_FLAGS(1) <= ze(L_AND_DR);                         -- Zero
176
                Q_FLAGS(2) <= L_AND_DR(7);                          -- Negative
177
                Q_FLAGS(3) <= '0';                                  -- Overflow
178
                Q_FLAGS(4) <= L_AND_DR(7);                          -- Signed
179
 
180
            when ALU_ASR =>
181
                L_DOUT <= L_ASR_D & L_ASR_D;
182
                Q_FLAGS(0) <= L_D8(0);                              -- Carry
183
                Q_FLAGS(1) <= ze(L_ASR_D);                          -- Zero
184
                Q_FLAGS(2) <= L_D8(7);                              -- Negative
185
                Q_FLAGS(3) <= L_D8(0) xor L_D8(7);                  -- Overflow
186
                Q_FLAGS(4) <= L_D8(0);                              -- Signed
187
 
188
            when ALU_BLD =>     -- copy T flag to DOUT
189
                case I_BIT(2 downto 0) is
190
                    when "000"  => L_DOUT( 0) <= I_FLAGS(6);
191
                                   L_DOUT( 8) <= I_FLAGS(6);
192
                    when "001"  => L_DOUT( 1) <= I_FLAGS(6);
193
                                   L_DOUT( 9) <= I_FLAGS(6);
194
                    when "010"  => L_DOUT( 2) <= I_FLAGS(6);
195
                                   L_DOUT(10) <= I_FLAGS(6);
196
                    when "011"  => L_DOUT( 3) <= I_FLAGS(6);
197
                                   L_DOUT(11) <= I_FLAGS(6);
198
                    when "100"  => L_DOUT( 4) <= I_FLAGS(6);
199
                                   L_DOUT(12) <= I_FLAGS(6);
200
                    when "101"  => L_DOUT( 5) <= I_FLAGS(6);
201
                                   L_DOUT(13) <= I_FLAGS(6);
202
                    when "110"  => L_DOUT( 6) <= I_FLAGS(6);
203
                                   L_DOUT(14) <= I_FLAGS(6);
204
                    when others => L_DOUT( 7) <= I_FLAGS(6);
205
                                   L_DOUT(15) <= I_FLAGS(6);
206
                end case;
207
 
208
            when ALU_BIT_CS =>  -- copy I_DIN to T flag
209
                Q_FLAGS(6) <= L_RBIT xor not I_BIT(3);
210
                if (I_BIT(3) = '0') then    -- clear
211
                    L_DOUT(15 downto 8) <= I_DIN and not L_MASK_I;
212
                    L_DOUT( 7 downto 0) <= I_DIN and not L_MASK_I;
213
                else                        -- set
214
                    L_DOUT(15 downto 8) <= I_DIN or L_MASK_I;
215
                    L_DOUT( 7 downto 0) <= I_DIN or L_MASK_I;
216
                end if;
217
 
218
            when ALU_COM =>
219
                L_DOUT <= L_NOT_D & L_NOT_D;
220
                Q_FLAGS(0) <= '1';                                  -- Carry
221
                Q_FLAGS(1) <= ze(not L_D8);                         -- Zero
222
                Q_FLAGS(2) <= not L_D8(7);                          -- Negative
223
                Q_FLAGS(3) <= '0';                                  -- Overflow
224
                Q_FLAGS(4) <= not L_D8(7);                          -- Signed
225
 
226
            when ALU_DEC =>
227
                L_DOUT <= L_DEC_D & L_DEC_D;
228
                Q_FLAGS(1) <= ze(L_DEC_D);                          -- Zero
229
                Q_FLAGS(2) <= L_DEC_D(7);                           -- Negative
230
                if (L_D8 = X"80") then
231
                    Q_FLAGS(3) <= '1';                              -- Overflow
232
                    Q_FLAGS(4) <= not L_DEC_D(7);                   -- Signed
233
                else
234
                    Q_FLAGS(3) <= '0';                              -- Overflow
235
                    Q_FLAGS(4) <= L_DEC_D(7);                       -- Signed
236
                end if;
237
 
238
            when ALU_EOR =>
239
                L_DOUT <= L_XOR_DR & L_XOR_DR;
240
                Q_FLAGS(1) <= ze(L_XOR_DR);                         -- Zero
241
                Q_FLAGS(2) <= L_XOR_DR(7);                          -- Negative
242
                Q_FLAGS(3) <= '0';                                  -- Overflow
243
                Q_FLAGS(4) <= L_XOR_DR(7);                          -- Signed
244
 
245
            when ALU_INC =>
246
                L_DOUT <= L_INC_D & L_INC_D;
247
                Q_FLAGS(1) <= ze(L_INC_D);                          -- Zero
248
                Q_FLAGS(2) <= L_INC_D(7);                           -- Negative
249
                if (L_D8 = X"7F") then
250
                    Q_FLAGS(3) <= '1';                              -- Overflow
251
                    Q_FLAGS(4) <= not L_INC_D(7);                   -- Signed
252
                else
253
                    Q_FLAGS(3) <= '0';                              -- Overflow
254
                    Q_FLAGS(4) <= L_INC_D(7);                       -- Signed
255
                end if;
256
 
257
            when ALU_INTR =>
258
                L_DOUT <= I_PC;
259
                Q_FLAGS(7) <= I_IMM(6);    -- ena/disable interrupts
260
 
261
            when ALU_LSR  =>
262
                L_DOUT <= L_LSR_D & L_LSR_D;
263
                Q_FLAGS(0) <= L_D8(0);                              -- Carry
264
                Q_FLAGS(1) <= ze(L_LSR_D);                          -- Zero
265
                Q_FLAGS(2) <= '0';                                  -- Negative
266
                Q_FLAGS(3) <= L_D8(0);                              -- Overflow
267
                Q_FLAGS(4) <= L_D8(0);                              -- Signed
268
 
269
            when ALU_D_MV_Q =>
270
                L_DOUT <= L_D8 & L_D8;
271
 
272
            when ALU_R_MV_Q =>
273
                L_DOUT <= L_RI8 & L_RI8;
274
 
275
            when ALU_MV_16 =>
276
                L_DOUT <= I_R(15 downto 8) & L_RI8;
277
 
278
            when ALU_MULT =>
279
                Q_FLAGS(0) <= L_PROD(15);                           -- Carry
280
                if I_IMM(7) = '0' then              -- MUL
281
                    L_DOUT <= L_PROD(15 downto 0);
282
                    Q_FLAGS(1) <= ze(L_PROD(15 downto 8))           -- Zero
283
                            and ze(L_PROD( 7 downto 0));
284
                else                                -- FMUL
285
                    L_DOUT <= L_PROD(14 downto 0) & "0";
286
                    Q_FLAGS(1) <= ze(L_PROD(14 downto 7))           -- Zero
287
                            and ze(L_PROD( 6 downto 0) & "0");
288
                end if;
289
 
290
            when ALU_NEG =>
291
                L_DOUT <= L_NEG_D & L_NEG_D;
292
                Q_FLAGS(0) <= not ze(L_D8);                         -- Carry
293
                Q_FLAGS(1) <= ze(L_NEG_D);                          -- Zero
294
                Q_FLAGS(2) <= L_NEG_D(7);                           -- Negative
295
                if (L_D8 = X"80") then
296
                    Q_FLAGS(3) <= '1';                              -- Overflow
297
                    Q_FLAGS(4) <= not L_NEG_D(7);                   -- Signed
298
                else
299
                    Q_FLAGS(3) <= '0';                              -- Overflow
300
                    Q_FLAGS(4) <= L_NEG_D(7);                       -- Signed
301
                end if;
302
                Q_FLAGS(5) <= L_D8(3) or L_NEG_D(3);                -- Halfcarry
303
 
304
            when ALU_OR =>
305
                L_DOUT <= L_OR_DR & L_OR_DR;
306
                Q_FLAGS(1) <= ze(L_OR_DR);                          -- Zero
307
                Q_FLAGS(2) <= L_OR_DR(7);                           -- Negative
308
                Q_FLAGS(3) <= '0';                                  -- Overflow
309
                Q_FLAGS(4) <= L_OR_DR(7);                           -- Signed
310
 
311
            when ALU_PC_1 =>    -- ICALL, RCALL
312
                L_DOUT <= I_PC + X"0001";
313
 
314
            when ALU_PC_2 =>    -- CALL
315
                L_DOUT <= I_PC + X"0002";
316
 
317
            when ALU_ROR =>
318
                L_DOUT <= L_ROR_D & L_ROR_D;
319 17 jsauermann
                Q_FLAGS(0) <= L_D8(0);                              -- Carry
320 2 jsauermann
                Q_FLAGS(1) <= ze(L_ROR_D);                          -- Zero
321
                Q_FLAGS(2) <= I_FLAGS(0);                           -- Negative
322
                Q_FLAGS(3) <= I_FLAGS(0) xor L_D8(0);               -- Overflow
323
                Q_FLAGS(4) <= I_FLAGS(0);                           -- Signed
324
 
325
            when ALU_SBC =>
326
                L_DOUT <= L_SBC_DR & L_SBC_DR;
327 21 jsauermann
                Q_FLAGS(0) <= cy_sub(L_D8(7), L_RI8(7), L_SBC_DR(7));-- Carry
328
                Q_FLAGS(1) <= ze(L_SBC_DR) and I_FLAGS(1);           -- Zero
329
                Q_FLAGS(2) <= L_SBC_DR(7);                           -- Negative
330
                Q_FLAGS(3) <= ov_sub(L_D8(7), L_RI8(7), L_SBC_DR(7));-- Overflow
331
                Q_FLAGS(4) <= si_sub(L_D8(7), L_RI8(7), L_SBC_DR(7));-- Signed
332
                Q_FLAGS(5) <= cy_sub(L_D8(3), L_RI8(3), L_SBC_DR(3));-- Halfcarry
333 2 jsauermann
 
334
            when ALU_SBIW =>
335
                L_DOUT <= L_SBIW_D;
336
                Q_FLAGS(0) <= L_SBIW_D(15) and not I_D(15);         -- Carry
337
                Q_FLAGS(1) <= ze(L_SBIW_D(15 downto 8)) and
338
                              ze(L_SBIW_D(7 downto 0));             -- Zero
339
                Q_FLAGS(2) <= L_SBIW_D(15);                         -- Negative
340
                Q_FLAGS(3) <= I_D(15) and not L_SBIW_D(15);         -- Overflow
341
                Q_FLAGS(4) <=  (L_SBIW_D(15) and not I_D(15))
342
                           xor (I_D(15) and not L_SBIW_D(15));      -- Signed
343
 
344
            when ALU_SREG =>
345
                case I_BIT(2 downto 0) is
346 11 jsauermann
                    when "000"  => Q_FLAGS(0) <= not I_BIT(3);
347
                    when "001"  => Q_FLAGS(1) <= not I_BIT(3);
348
                    when "010"  => Q_FLAGS(2) <= not I_BIT(3);
349
                    when "011"  => Q_FLAGS(3) <= not I_BIT(3);
350
                    when "100"  => Q_FLAGS(4) <= not I_BIT(3);
351
                    when "101"  => Q_FLAGS(5) <= not I_BIT(3);
352
                    when "110"  => Q_FLAGS(6) <= not I_BIT(3);
353
                    when others => Q_FLAGS(7) <= not I_BIT(3);
354 2 jsauermann
                end case;
355
 
356
            when ALU_SUB =>
357
                L_DOUT <= L_SUB_DR & L_SUB_DR;
358 21 jsauermann
                Q_FLAGS(0) <= cy_sub(L_D8(7), L_RI8(7), L_SUB_DR(7));-- Carry
359
                Q_FLAGS(1) <= ze(L_SUB_DR);                          -- Zero
360
                Q_FLAGS(2) <= L_SUB_DR(7);                           -- Negative
361
                Q_FLAGS(3) <= ov_sub(L_D8(7), L_RI8(7), L_SUB_DR(7));-- Overflow
362
                Q_FLAGS(4) <= si_sub(L_D8(7), L_RI8(7), L_SUB_DR(7));-- Signed
363
                Q_FLAGS(5) <= cy_sub(L_D8(3), L_RI8(3), L_SUB_DR(3));-- Halfcarry
364 2 jsauermann
 
365
            when ALU_SWAP =>
366
                L_DOUT <= L_SWAP_D & L_SWAP_D;
367
 
368
            when others =>
369
        end case;
370
    end process;
371
 
372
    L_D8 <= I_D(15 downto 8) when (I_D0 = '1') else I_D(7 downto 0);
373
    L_R8 <= I_R(15 downto 8) when (I_R0 = '1') else I_R(7 downto 0);
374
    L_RI8 <= I_IMM           when (I_RSEL = RS_IMM) else L_R8;
375
 
376
    L_ADIW_D  <= I_D + ("0000000000" & I_IMM(5 downto 0));
377
    L_SBIW_D  <= I_D - ("0000000000" & I_IMM(5 downto 0));
378
    L_ADD_DR  <= L_D8 + L_RI8;
379
    L_ADC_DR  <= L_ADD_DR + ("0000000" & I_FLAGS(0));
380
    L_ASR_D   <= L_D8(7) & L_D8(7 downto 1);
381
    L_AND_DR  <= L_D8 and L_RI8;
382
    L_DEC_D   <= L_D8 - X"01";
383
    L_INC_D   <= L_D8 + X"01";
384
    L_LSR_D   <= '0' & L_D8(7 downto 1);
385
    L_NEG_D   <= X"00" - L_D8;
386
    L_NOT_D   <= not L_D8;
387
    L_OR_DR   <= L_D8 or L_RI8;
388
    L_PROD    <= (L_SIGN_D & L_D8) * (L_SIGN_R & L_R8);
389
    L_ROR_D   <= I_FLAGS(0) &  L_D8(7 downto 1);
390
    L_SUB_DR  <= L_D8 - L_RI8;
391
    L_SBC_DR  <= L_SUB_DR - ("0000000" & I_FLAGS(0));
392
    L_SIGN_D  <= L_D8(7) and I_IMM(6);
393
    L_SIGN_R  <= L_R8(7) and I_IMM(5);
394
    L_SWAP_D  <= L_D8(3 downto 0) & L_D8(7 downto 4);
395
    L_XOR_DR  <= L_D8 xor L_R8;
396
 
397
    Q_DOUT <= (I_DIN & I_DIN) when (I_RSEL = RS_DIN) else L_DOUT;
398
 
399
end Behavioral;
400
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.