OpenCores
URL https://opencores.org/ocsvn/cxd9731/cxd9731/trunk

Subversion Repositories cxd9731

[/] [cxd9731/] [dcm3.v] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 regttycomi
////////////////////////////////////////////////////////////////////////////////
2
// Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
3
////////////////////////////////////////////////////////////////////////////////
4
//   ____  ____ 
5
//  /   /\/   / 
6
// /___/  \  /    Vendor: Xilinx 
7
// \   \   \/     Version : 11.5
8
//  \   \         Application : xaw2verilog
9
//  /   /         Filename : dcm3.v
10
// /___/   /\     Timestamp : 06/24/2010 00:56:37
11
// \   \  /  \ 
12
//  \___\/\___\ 
13
//
14
//Command: xaw2verilog -intstyle D:/usr/kk/PROJECT/PS2NetWorkAdaptor/NADP/NADP05_5/chip/ipcore_dir/dcm3.xaw -st dcm3.v
15
//Design Name: dcm3
16
//Device: xc3s50a-4tq144
17
//
18
// Module dcm3
19
// Generated by Xilinx Architecture Wizard
20
// Written for synthesis tool: XST
21
// Period Jitter (unit interval) for block DCM_SP_INST = 0.10 UI
22
// Period Jitter (Peak-to-Peak) for block DCM_SP_INST = 0.71 ns
23
`timescale 1ns / 1ps
24
 
25
module dcm3(CLKIN_IN,
26
            RST_IN,
27
            CLKFX_OUT,
28
            CLKIN_IBUFG_OUT,
29
            CLK0_OUT,
30
            LOCKED_OUT);
31
 
32
    input CLKIN_IN;
33
    input RST_IN;
34
   output CLKFX_OUT;
35
   output CLKIN_IBUFG_OUT;
36
   output CLK0_OUT;
37
   output LOCKED_OUT;
38
 
39
   wire CLKFB_IN;
40
   wire CLKFX_BUF;
41
   wire CLKIN_IBUFG;
42
   wire CLK0_BUF;
43
   wire GND_BIT;
44
 
45
   assign GND_BIT = 0;
46
   assign CLKIN_IBUFG_OUT = CLKIN_IBUFG;
47
   assign CLK0_OUT = CLKFB_IN;
48
   BUFG CLKFX_BUFG_INST (.I(CLKFX_BUF),
49
                         .O(CLKFX_OUT));
50
   IBUFG CLKIN_IBUFG_INST (.I(CLKIN_IN),
51
                           .O(CLKIN_IBUFG));
52
   BUFG CLK0_BUFG_INST (.I(CLK0_BUF),
53
                        .O(CLKFB_IN));
54
   DCM_SP DCM_SP_INST (.CLKFB(CLKFB_IN),
55
                       .CLKIN(CLKIN_IBUFG),
56
                       .DSSEN(GND_BIT),
57
                       .PSCLK(GND_BIT),
58
                       .PSEN(GND_BIT),
59
                       .PSINCDEC(GND_BIT),
60
                       .RST(RST_IN),
61
                       .CLKDV(),
62
                       .CLKFX(CLKFX_BUF),
63
                       .CLKFX180(),
64
                       .CLK0(CLK0_BUF),
65
                       .CLK2X(),
66
                       .CLK2X180(),
67
                       .CLK90(),
68
                       .CLK180(),
69
                       .CLK270(),
70
                       .LOCKED(LOCKED_OUT),
71
                       .PSDONE(),
72
                       .STATUS());
73
   defparam DCM_SP_INST.CLK_FEEDBACK = "1X";
74
   defparam DCM_SP_INST.CLKDV_DIVIDE = 2.0;
75
   defparam DCM_SP_INST.CLKFX_DIVIDE = 1;
76
   defparam DCM_SP_INST.CLKFX_MULTIPLY = 4;
77
   defparam DCM_SP_INST.CLKIN_DIVIDE_BY_2 = "FALSE";
78
   defparam DCM_SP_INST.CLKIN_PERIOD = 27.800;
79
   defparam DCM_SP_INST.CLKOUT_PHASE_SHIFT = "NONE";
80
   defparam DCM_SP_INST.DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS";
81
   defparam DCM_SP_INST.DFS_FREQUENCY_MODE = "LOW";
82
   defparam DCM_SP_INST.DLL_FREQUENCY_MODE = "LOW";
83
   defparam DCM_SP_INST.DUTY_CYCLE_CORRECTION = "TRUE";
84
   defparam DCM_SP_INST.FACTORY_JF = 16'hC080;
85
   defparam DCM_SP_INST.PHASE_SHIFT = 0;
86
   defparam DCM_SP_INST.STARTUP_WAIT = "FALSE";
87
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.